当前位置:文档之家› EDA实训论文

EDA实训论文

EDA实训论文
EDA实训论文

EDA设计论文

设计题目:数字式竞赛抢答器

专业班级:2013级电气1班

姓名学号:申伟良2013511115

姓名学号:关代飞2013509166

姓名学号:孙青硕2013509178

指导教师:郭天胜

设计时间:2016.01.04-2016.01.13 机械电气工程学院电气工程系

目录

《EDA实训》成绩记录单 (3)

摘要 (6)

一、绪论 (7)

1.1EDA技术

1.2 硬件描述语言——Verilog HDL

1.3 Verilog HDL的设计流程

二、设计过程 (10)

2.1 系统设计要求

2.2 系统设计方案

三、主要模块程序 (10)

3.1 D触发器

3.2 抢答模块

3.3 显示模块

四、各模块原理图 (13)

五、总结 (16)

六、参考文献 (17)

七、致谢 (19)

《EDA实训》成绩记录表

姓名:

班级:

学号:

时间:

备注:

1、答辩时间为一个人5分钟左右,以小组为单位

2、回答问题和演示实验过程不能交流

3、前三项小组每个人分数一致

4、每个小组回答问题最佳者,额外加5分

5、最后成绩转化为等级计分

姓名:

班级:

学号:

时间:

备注:

1、答辩时间为一个人5分钟左右,以小组为单位

2、回答问题和演示实验过程不能交流

3、前三项小组每个人分数一致

4、每个小组回答问题最佳者,额外加5分

5、最后成绩转化为等级计分

姓名:

班级:

学号:

时间:

备注:

1、答辩时间为一个人5分钟左右,以小组为单位

2、回答问题和演示实验过程不能交流

3、前三项小组每个人分数一致

4、每个小组回答问题最佳者,额外加5分

5、最后成绩转化为等级计分

摘要

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。电子抢答器的中心构造一般都是由抢答器由单片机以及外围电路组成。

本设计是以四路抢答为基本概念。从实际应用出发,利用电子设计自动化( EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。它以Verilog HDL 硬件描述语言作为平台,结合动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要有两部分组成:鉴别锁存电路、显示模块的电路,并利用Quartus II工具软件完成了Verilog HDL源程序编写和硬件下载。这个抢答器设计基本上满足了实际比赛应用中的各种需要。在实际中有很大的用途。

关键词:抢答器 Quartus II Verilog HDL

1绪论

硬件描述语言 Hardware Description Language 是硬件设计人员和电子设计自动化 EDA 工具之间的界面。其主要目的是用来编写设计文件,建立电子系统行为级的仿真模型。即利用计算机的巨大能力对用 Verilog HDL 或 VHDL 建模的复杂数字逻辑进行仿真,然后再自动综合以生成符合要求且在电路结构上可以实现的数字逻辑网表 Netlist,根据型仿真验证无误后用于制造ASIC芯片或写入 EPLD 和 FPGA 器件中。

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI 组织以促进Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995.

Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。

开发工具简介

1.1 EDA技术

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助

测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

1.2 硬件描述语言—Verilog HDL

Verilog HDL 是硬件描述语言的一种,用于数字电子系统设计。该语言是1983 年由 GDA ( GateWay Design Automation )公司的 Phil Moorby 首创的。Phil Moorby 后来成为 Verilog - XL 的主要设计者和 Cadence 公司

( Cadence Design System )的第一个合伙人。在 1984-1985 年间, Phil Moorby 设计出第一个名为 Verilog-XL 的仿真器; 1986 年,他对 Verilog HDL 的发展又一次作出了巨大贡献——提出了用于快速门级仿真的 XL 算法。

随着 Verilog-XL 算法的成功, Verilog HD 语言得到迅速发展。 1989 年,Cadence 公司收购 GDA 公司, Verilog HDL 语言成为了 Cadence 公司的私有财产。 1990 年, Cadence 公司决定公开 Verilog HDL 语言,并成立了 OVI ( Open Verilog International )组织,并负责促进 Verilog HDL 语言的发展。基于 Verilog HDL 的优越性, IEEE 于 1995 年制定了 Verilog HDL 的IEEE 标准,即 Verilog HDL1364-1995 ; 2001 年发布了 Verilog HDL1364-2001 标准。

1.3 Verilog HDL 的设计流程一般是:

1 .文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL 编辑环境。通常 Verilog HDL 文件保存为 .v 文件。

2 .功能仿真:将文件调入 HDL 仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只有在布线完成之后,才进行时序仿真)。

3 .逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件会生成 .edf ( EDIF )的 EDA 工业标准文件。(最好不用 MAX+PLUS II 进行综合,因为只支持 VHDL/Verilog HDL 的子集)

4 .布局布线:将 .edf 文件调入 PLD 厂家提供的软件中进行布线,即把设计好的逻辑安放到 CPLD/FPGA 内。

5 .时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序(也叫后仿真)。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB (Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。--2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX公司的Virtex系列、TI公司的TPC系列、ALTERA公司的Stratix系列等。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM 编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。

二、设计过程

2.1 系统设计要求

本设计的具体要求是:

(1) 设计制作一个可容纳三组参赛者的数字智力抢答器,每组设置一个抢答按钮。

(2) 电路具有第一抢答信号的鉴别和锁存功能。在主持人按下开始按钮后,若参加者按抢答开关。此时,数码显示管显示抢答者的编号。

(3)当主持人按下复位键时,数码显示管显示零。

2.2 系统设计方案

根据系统设计要求可知,系统的输入信号有:各组的抢答按钮1、2、3、,系统清零信号CLR,系统时钟信号CLK,系统的输出信号有:三个组抢答成功与否的信号由数码显示管显示,第一抢答信号的鉴别和锁存功能。

根据以上的分析,我们可将整个系统分为两个主要模块:抢答鉴别模块和译码显示模块。

三、主要模块程序

3.1 D触发器

module dffnew(clk,q,d,hold);

input clk,hold;

input [1:0] d;

output [1:0]q;

reg [1:0] q;

always@ (posedge clk)

begin

if(~hold)

q<=q;

else

q<=d;

end

endmodule

2.抢答模块

module qd (d,num,hold,start,clr);

input clr,start;

input [1:0] d;

output [3:0] num;

reg [7:0] num;

output hold;

reg hold;

always @ (d or start)

if(~start)

case (d)

2'b10:begin num<=8'd1; hold<=1'b0; end 2'b01:begin num<=8'd2; hold<=1'b0; end

default: begin num<=8'd0; hold<=1'b1; end

endcase

else if (~clr)

begin num<=0; hold<=1'b1; end

endmodule

3、显示模块

module seg7(clk,dataout,en,datain);

input [7:0] datain;

input clk;

output[6:0] dataout;

output [1:0] en;//COM使能输出

reg[6:0] dataout;//各段数据输出

reg[1:0] en;

reg[15:0] cnt_scan;//扫描频率计数器

reg [3:0] dataout_buf;

always@(posedge clk )

begin

cnt_scan<=cnt_scan+1;

end

always @ (cnt_scan)

begin

case(cnt_scan[15])

1'b0 :

en = 2'b10;

1'b1 :

en = 2'b01;

default :

en = 2'b11;

endcase

end

always@(en) //对应COM信号给出各段数据

begin

case(en)

2'b10:

dataout_buf=datain[7:4]; 2'b01:

dataout_buf=datain[3:0];

default:

dataout_buf=8;

endcase

end

always@(dataout_buf)

begin

case(dataout_buf)

4'b0000:dataout=7'b0111111; 4'b0001:dataout=7'b0000110; 4'b0010:dataout=7'b1011011; 4'b0011:dataout=7'b1001111;

4'b0100:dataout=7'b1100110;

4'b0101:dataout=7'b1101101;

4'b0110:dataout=7'b1111101;

4'b0111:dataout=7'b0000111;

4'b1000:dataout=7'b1111111;

4'b1001:dataout=7'b1101111;

default:

dataout=7'b0001_000;

endcase

end

endmodule

四、各模块原理图

D触发器原理图

抢答模块原理图

显示模块原理图

电路总原理图

五、总结

本次实训为期两周,分组选题。由于种种原因,我们三个人选择了3个人一组的题目。确定下题目后,首先就是方案的确定。经过老师的辅导、同学的帮助、翻阅相关资料,综合各方面的考虑,最后确定用FPGA来实现电子抢答器的功能。但是要了解抢答器的构造,需要查看大量的英文资料,我并没有气馁,一个管脚一个管脚的对比,终于弄清楚了实现功能的大体思路。然后就是学习Verilog HDL 语言,虽然以前学过,但是时间比较久了,差不多都忘了,用了几天时间熟悉了Verilog HDL 源程序的编写。最后是硬件的调试,这是个漫长的过程,最后我坚持了下来。

通过这次实训,我对Verilog HDL有了深入的认识。同时也对EDA产生了更加浓厚的兴趣。本次实训,也检验了自己的能力,加强了逻辑思维的能力,不过我也发现了自身存在的一些问题,比如在Quartus软件的应用上还有很多不成熟不理解的地方,但是相信在以后的学习生活中我可以很好的与予改正,取得更好的成绩,也希望日后老师能不厌其烦的指导我,给予我更大的支持。

参考文献

[1]谭会生,张昌凡. EDA技术及应用.第二版.西安电子科技大学出版社,2009

[2]李大社,基于Quartus II的FPGA/CPLD设计实例精解,电子工业出版社,

2010

[3]李国洪,胡辉,沈明山. EDA技术与实验.机械工业出版社,2009

[4]西勒提(MichaelD.Ciletti), Verilog HDL高级数字设计,电子工业出

版社,2010

[5]刘建清. 从零开始学CPLD和Verilog.HDL编程技术,国防工业出版社,2007

[6]巴斯克,夏宇闻,甘伟译. VERILOG HDL入门,北京航空航天大学,2010

[7]王港元等,电子技能基础(第二版),四川大学出版社, 2001

致谢

本论文设计在郭天圣老师的悉心指导和严格要求下业已完成,从选题到具体的实训和写作过程,无不凝聚着老师们的心血和汗水。在我实训期间,老师为我提供了种种专业知识上的指导和一些富于创造性的建议,老师严谨求实的态度使我深受感动,没有这样的帮助和关怀和熏陶,我不会这么顺利的完成实训任务。在此向老师表示深深的感谢和崇高的敬意!

这次实训还要感谢郭老师的热情帮助,是他让我在短时间内熟悉Verilog HDL源程序的编写。在硬件调试阶段,也有很多同学给予了帮助,在此谢谢郭老师。

我还要借此机会向给予我诸多教诲和帮助的各位老师表示由衷的谢意,感谢他们的辛勤栽培。不积跬步何以至千里,各位任课老师认真负责,在他们的悉心帮助和支持下,我能够很好的掌握和运用专业知识,并在实训中得以体现,顺利完成实训任务。

同时,在论文写作过程中,我还参考了有关的书籍和论文,在这里一并向有关的作者表示谢意。

我还要感谢同组的各位同学以及我的各位室友,在这段时间里,你们给了我很多的启发,提出了很多宝贵的意见,对于你们帮助和支持,在此我表示深深地感谢!

EDA论文

摘要 本文的目的是设计单稳态触发器,运用了VHDL语言,结合Multisim12.0软件进行了单稳态触发器的仿真图与原理图的设计,使电路设计过程具有快捷性、高效性和准确性,完成符合质量要求的555单稳态触发器的设计。 关键词 VHDL语言;单稳态触发器;Multisim12.0

Abstract The purpose of this paper is to design a monostable trigger, use the VHDL language, the simulation graph monostable multivibrator and schematic diagram with Multisim12.0 software, the circuit design process isfast, efficient and accurate, complete and meet the quality requirements ofthe 555 single stable state trigger design. Keywords VHDL language; monostable trigger; Multisim12.0

目录 摘要........................................................................................................................................ I Abstract .................................................................................................................................... II 第一章单稳态触发器的原理. (1) 1.1单稳态触发器概述 (1) 1.2单稳态触发器电路的工作原理 (1) 第二章单稳态触发器设计过程 (3) 2.1 VHDL程序 (3) 2.2 设计仿真图 (4) 结论 (5) 参考文献 (6) 致谢 (7)

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

EDA技术论文

第1章 EDA技术 随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,电子类高新技术项目的开发也更加依赖于EDA技术的应用。即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。 1.1 EDA技术的含义及特点 EDA(Electronic Design Automation,电子系统设计自动化)技术是20世纪90年代初从CAD(计算机辅助设计),CAM(计算机辅助制造),CAT (计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。现代EDA 技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。[3] 可见,利用EDA技术进行电子系统的设计具有以下几个特点:一是用软件的方式设计硬件;二是用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;三是采用自顶向下(top--down)的设计方法;四是设计过程中可用有关软件进行各种仿真;五是系统可现场编程,在线升级;六是整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA代表了当今电子设计技术的最新发展方向。 1.2EDA技术的主要内容 EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下个4个方面的内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是

EDA实训心得体会

EDA实训心得体会 经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。如下是给大家的EDA实训心得体会,希望对大家有所作用。 大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(Electronic Design Automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。 在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件 电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至

部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。 在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

关于EDA论文

毕业实习论文 设计题目:关于EDA的毕业实习论文 姓名: 班级:电信0822 学号: 0814781064 设计时间:2011年12月17号

一,课题背景 进入21世纪,随着微电子技术和计算机技术的迅速发展,人类进入了一个全新的信息时代。以数字化为特征的电子信息产品,诸如PC,PDA,DC,DV,DTV,Web浏览器、手机等等,成为现代社会不可或缺的一部分。电子信息产品功能不断扩展、性能不断提高,更新换代的步伐越来越快,而同时其价格却一直呈下降趋势。实现这种进步的主要因素是集成电路制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米和超深亚微米阶段,主流生产工艺技术为0.13~0.25μm,可以在几平方厘米的芯片上集成数千万个晶体管。后者的核心就是EDA(电子设计自动化)技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术,并汇集了计算机图形学、拓扑学和计算数学等众多学科而发展起来的一项电子设计的自动化技术,EDA技术已经成为现代电子系统设计的重要手段。 二,EDA的概念 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很广。包括在机械、电

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

EDA实训内容-实验报告

一、课程设计的内容 1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。 2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。 3、通过学习了解Synopsys软件,掌握IC设计基础。 二、课程设计的要求与数据 1、严格按照分组情况进行实训; 2、完成指定的设计任务; 3、相关设计数据要填入指定表格; 4、课程设计的报告严格按照学校指定格式执行; 5、实训期间不得迟到早退,否则将严肃处理。 三、课程设计应完成的工作 1、学习Multisim仿真软件,并完成以下设计任务: Lab1-4必做,Lab5-9选做任意数量。 2、学习SOPC技术,并完成以下设计任务: Task1-4选作一题,Task5必做。 3、学习IC设计技术基础,并完成以下设计任务: Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。 四、课程设计进程安排

五、各实验相关内容和结果。 A、SOPC技术运用 SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示: 这里附上Nios2的原理图: A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。 这里附上数据存储和读取电路的原理图:

EDA实训过程及心得

实训过程及心得 短暂的三周实训已经过去了,对于我来说这三周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这三周具体的实训过程及我自己的一些心得体会。 “实践永远是检验真理的唯一标准”,只有把理论真正的付诸于具体的实践过程中才能得到自己所想要的东西。我们这次实训的主要是EDA课程,EDA全称:Electronic Design Automation( 电子设计自动化),这门课程是本学年新开的课程而且以前也从未接触过这门课目,所以,初学这门课程难免有些吃力。这几周实训是通过EDA (Quartus Ⅱ)软件上机练习实习程序,Quartus Ⅱ软件虽然以前上课接触过几次,懂得一些基本知识,但具体操作起来还是不怎么熟练,软件大多的功能还没弄明白。这次实训是编译课本上的“出租车计费程序、交通灯控制“两个程序,老师对我们给我们提出的要求是:弄明白两个源程序的内在逻辑关系、对两个程序进行时序仿真、通过各个板块画出最后两个程序的总逻辑图还有就是锁定芯片管脚。由于以前接触Quartus Ⅱ软件比较少,所以,有时候就给这次的实训带来了一些困难。比如说对实训程序进行时序仿真观察波形,如果不设置合理的仿真开始、结束时间和合理的仿真波形周期等等就不会得到想要的输入输出信号波形。还有就是在解读两个程序时遇到了很多问题,

比如说,在出租车计费的进程中的定义的a、b、aa三个变量不知道在程序里具体代表的是什么含义就无法读懂这三个变量在这个小模块所组合在一起的在整个大程序中起到的作用,进而也就看不懂整个程序。所以,要想把整个大程序完全的读懂一定要把各个小的细节都彻底的要弄明白。其次就是在最后的锁定芯片管脚的时候不确定是应该锁定哪些管脚,看课本也找不到自己要的答案,课堂笔记上记得也不全,困扰了我好长时间,最后在老师和同学的帮助下终于锁定了芯片管脚。这两个是我在实习中遇到的两个比较大的问题,其他的还遇到了很多其他的小问题。例如,输入完整个程序在对程序进行检验的时候对出现的一些小错误经常会找不到错误的所在,进而影响了下一个操作步骤。另外还有几次在建立工程项目名字和保存程序的名字的时候总是与程序中实体的名字不相符导致程序不能正常使用,与课本的理论知识相背驰。在最后结束完实训的时候自己总结了一下在实训中遇到的诸多问题,最终的原因还是课本的理论知识掌握的不扎实使我在实训的过程中出现了一些本不应该出现的错误,给自己带来了一些障碍和麻烦。至此,本次的实训也告一段落。 以上是这三周实训的具体过程和在实训训过程中遇到的一些困难,下面是我这三周实训下来的一些心得体会。 三周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是

EDA论文

重庆师范大学期末考核提交材料 课程名称: EDA原理及应用 院系:物理与电子工程学院 时间: 2011—2012学年度第二学期专业:电子信息科学与技术(职教)年级: 2009级 培养层次:本科 学生姓名:邓桂英 学号: 20090520139 成绩:

基于FPGA温度传感器DS18B20的Verilog设计 基于FPGA温度传感器DS18B20的Verilog设计 物理与电子工程学院电子信息科学与技术(职教)专业 2009级邓桂英 指导老师何传红 摘要:本文介绍了数字温度传感器DS18B20的数据接口和特点, 阐述了一种基于现场可编程门阵列( FPGA)控制DS18B20的方法。使用FPGA作为控制器,严格控制DS18B20的时序,在单总线上实现读写功能,完成测量数字温度的功能。将测量的二进制数转换为BCD码, 并通过数码管显示。系统设计使用Verilog语言。 关键词:现场可编程门阵列;数字温度传感器;硬件描述语言

目录 0 引言 (4) 1 DS18B20的读写方式 (4) 1.1 初始化时序: (4) 1.2 写时序: (5) 1.3 读时序: (5) 2 温度控制模块 (6) 3 控制DS18B20进行温度测量 (6) 4 电源连接 (7) 5 温度显示部分 (8) 6 软件设计 (8) 6.1 Proteus软件简介 (9) 6.2 程序流程图设计 (9) 6.3 实验内容与步骤 (10) 7 结论 (12) 参考文献 (13)

0 引言 温度检测是数据采集的基本任务。传统的采集方式多为使用温度传感器采集模拟量, 然后通过模/数(A/D)转化得到数字量。模拟数字采集的方法稳定性较差, 抗干扰能力较弱, 电路连接数量多。随着电子技术的发展, 在很多应用中, 数字温度传感器已经取代模拟温度传感器。数字温度传感器以其线路简单、编程设置灵活、工作范围宽、成本低廉、转换精度高、测量速度快、适用于微型封装,结构简单,无需温度校准和调试,可直接连接到微处理器, 在实际应用中广受欢迎。 DS18B20是单总线式的数字温度传感器。具有线路简单,体积小的特点。DS18B20支持/一线总线0接口,信息经过一条线进出, 完成读写功能,另两条线为电源和地线。测量得到的数据是数字量,不需要经过模数转换,从而大大简化了电路。 FPGA 是现场可编程门阵列( Field Programmable Gate Array),20世纪80年代开始使用的大规模可编程数字集成电路。FPGA充分利用了计算机辅助设计技术进行器件的开发与应用,不仅能自行设计专用集成电路芯片,还可以在计算机上进行功能仿真和实时仿真,及时发现问题,调整电路,改进设计方案。而且,FPGA 器件采用标准化结构,体积小,集成度高,功耗低,速度快,可无限次反复编程, 因此成为科研产品开发及其小型化的首选器件,且应用极为广泛。使用FPGA来控制数字温度传感器DS18B20是一个非常好的选择。 1 DS18B20的读写方式 由于DS18B20是采用一根I/O总线读写数据, 因此DS18B20对读写数据位有严格的时序要求。DS18B20遵循相应的通信协议从而保证数据传输的正确性和完整性。该通信协议定义了多种信号时序: 初始化时序、读时序、写时序。每一次命令和数据传输都是从主机启动写时序开始,如果要求从机回送数据,在写命令后,主机需启动读时序接收数据。所有的读、写时序至少需要60us, 且每两个独立的时序之间至少需要1us的恢复时间。数据和命令的传输都是低位优先。DS18B20有严格的时序控制: 1.1 初始化时序: 初始化时序中,控制器发送一个480us-960us的低电平的复位信号,然后释放总

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

EDA结业论文

武汉职业技术学院课程结业论文 论文题目:DDS信号源的设计 姓名:张高 所在院系:电子信息工程学院 班级:通信12303班 学号:12013582 指导教师:虞沧 武汉职业技术学院

二〇一三年十二月 目录 封面 (1) 目录 (2) 摘要 (3) 第一章:操作步骤 (4) 第二章:设计框图 (5) 第三章:各功能的模块程序编译 (9) 第四章:列出仿真波形 (15) 小结 (16) 致谢 (17) 参考文献 (17)

摘要 DDS是一种以全数字从相位概念出发直接合成所需波形的一种频率合成技术。目前使用最广泛的方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。包含ds_fen,dds_rom,dds_sins三个模块。广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到信号发生器。综上所述,不论是在生产还是在科研与教学上,信号发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求,不仅要求能产生正弦信号源、脉冲信号源,还能根据需要产生函数信号源和高频信号源。

第一章操作步骤 1.编写DDS--fen、DDS--sin、DDS--rom三个模块的VHDL源代码。见附录。 2.代码编译无误后打包保存好。 3.做DDS信号源的顶层文件。 dds_fen模块 根据需要生成的信号频率值,产生对应的时钟信号,是DDS设计的核心部分。 clk为系统时钟; clr为清零信号; datain为所需频率值。 该模块根据datain提供的频率值,产生对应的后续模块的时钟信号。在后续正弦波产生模块中需要提供的时钟信号为所需频率值的64倍,通过相位累加即可得所需频率。

EDA技术及指导应用实训报告材料

电子科技大学信息科技学院《EDA技术及应用》实训报告 学号1252100301 姓名 指导教师:覃琴 2014年4 月29 日

实训题目:数字日历电路 1 系统设计 1.1 设计要求 1.1.1 设计任务 (1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。 (2)数字日历能够显示年、月、日、时、分和秒。 (3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段显示年、月、日(如20140101),然后在另一时间段显示时、分、秒(如010101099),两个时间段能自动倒换。 (4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。 (5)体现创新部分 1.1.2 性能指标要求 1)数字电路能够在一定的时间显示切换的功能,并且能手动校准年月日和时分秒 2)具有复位和进位的功能 3)能起到提示的作用,如闹钟或亮彩灯等。 1.2 设计思路及设计框图 1.2.1设计思路 如图1.2.2所示 1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。 2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

EDA实验总结报告

CPLD与电子CAD报告 班号: XXXXXXXXX 序号: XXXXX 学号: XXXXXXX 姓名: XXXXXXX 同组同学姓名: XXXXXXX 三峡大学电气与新能源学院 1

CPLD及电子CAD ?前言 VDHL初步理解和软件常规操作 ?第一章 VHDL中的进程、信号与变量 ?第二章并行语句、顺序语句 ?第三章循环语句、双向口 ?第四章数字钟综合设计 ?第五章Protel原理图、PCB图 ?总结学习CPLD心得和体会 前言 VDHL初步理解和软件常规操作 一,VHDL的历史 1982年,诞生于美国国防部赞助的vhsic项目 1987年底,vhdl被IEEE和美国国防部确认为标准硬件描述语言,即IEEE-1076(简称87版) 1993年,IEEE对VHDL进行了修订,公布了新版本的VHDL,即IEEE标准的1076-1993(1164)版本 1996年,IEEE-1076.3成为VHDL综合标准 二,VHDL软件Max+plusⅡ的常规操纵方法 1)新建文件后,输入项目文件名(File-Project-Name)(此时save as名称如果是程序则需要用vhd结尾保存文件) 2)输入源文件(图形、VHDL、波形输入方式) (Max+plusⅡ-graphic Editor;Max+plusⅡ-Text Editor;Max+plusⅡ-Waveform Editor) 3)指定CPLD型号,选择器件(Assign-Device)(应选择EP1K 30TC144-1)4)设置管脚、下载方式和逻辑综合的方式 (Assign-Global Project Device Option,Assign-Global Logic Synthesis) 5)保存并检查源文件(File-project-Save & Check) 6)指定管脚(Max+plusⅡ-Floorplan Editor)(具体的管脚应该参考 2

eda论文报告

盐城师范学院 物理科学与电子技术学院 09(5)班课程名称 EDA技术基础教程姓名:夏天学号 09223459 考核方式:考查得分 EDA技术的应用(题目自拟,黑体小三居中) 一、简述设计电路 说明电路的作用和本论文所做的工作。 二、原理图的设计 1.说明电路图中所用的元器件; 2.说明原理图的规划及其截图; 图1 原理图 三、PCB的设计 说明PCB设计的注意事项及其结果图。 Pcb设计的注意事项:

原理图设计是前期准备工作,经常见到初学者为了省事直接就去画PCB板了,这样将得不偿失,对简单的板子,如果熟练流程,不妨可以跳过。但是对于初学者一定要按流程来,这样一方面可以养成良好的习惯,另一方面对复杂的电路也只有这样才能避免出错。 在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会出现看似相连实际未连(电气性能上)的情况。如果不用相关检测工具检测,万一出了问题,等板子做好了才发现就晚了。因此一再强调按顺序来做的重要性,希望引起大家的注意。 原理图是根据设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。 l、制作物理边框 封闭的物理边框对以后的元件布局、走线来说是个基本平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但这里一定要注意精确,否则以后出现安装问题麻烦可就大了。还有就是拐角地方最好用圆弧,一方面可以避免尖角划伤工人,同时又可以减轻应力作用。以前我的一个产品老是在运输过程中有个别机器出现面壳PCB板断裂的情况,改用圆弧后就好了。 2、元件和网络的引入 把元件和网络引人画好的边框中应该很简单,但是这里往往会出问题,一定要细心地按提示的错误逐个解决,不然后面要费更大的力气。这里的问题一般来说有以下一些: 元件的封装形式找不到,元件网络问题,有未使用的元件或管脚,对照提示这些问题可以很快搞定的。 3、元件的布局 元件的布局与走线对产品的寿命、稳定性、电磁兼容都有很大的影响,是应该特别注意的地方。一般来说应该有以下一些原则: 3.l放置顺序 先放置与结构有关的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动。再放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。最后放置小器件。 3.2注意散热 元件布局还要特别注意散热问题。对于大功率电路,应该将那些发热元件如功率管、变压器等尽量靠边分散布局放置,便于热量散发,不要集中在一个地方,也不要高电容太近以免使电解液过早老化。 4、布线 布线原则 走线的学问是非常高深的,每人都会有自己的体会,但还是有些通行的原则的。 ◆高频数字电路走线细一些、短一些好 ◆大电流信号、高电压信号与小信号之间应该注意隔离(隔离距离与要承受的耐压有关,通常情况下在2KV时板上要距离2mm,在此之上以比例算还要加大,例如若要承受3KV的耐压测试,则高低压线路之间的距离应在3.5mm以上,许多情况下为避免爬电,还在印制线路板上的高低压之间开槽。) ◆两面板布线时,两面的导线宜相互垂直、斜交、或弯曲走线,避免相互平行,以减小寄生耦合;作为电路的输人及输出用的印制导线应尽量避兔相邻平行,以免发生回授,在这些导线之间最好加接地线。 ◆走线拐角尽可能大于90度,杜绝90度以下的拐角,也尽量少用90度拐角 ◆同是地址线或者数据线,走线长度差异不要太大,否则短线部分要人为走弯线作补偿

郑州航院EDA实验报告模板

《EDA技术及应用》 实验报告 系部:电子通信工程系 指导教师:张松炜 学号:121307214 ____ 姓名:李俊杰_______

2014___年_6___月__19_日 实验一点亮LED设计 一、实验目的 通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件Quartus II的使用方法及VerilogHDL的编程方法。 二、实验内容 本实验是一个简单的点亮LED灯的实验,具体包括: 1)使用Quartus II建立一个工程; 2)Quartus II工程设计; 3)设置编译选项并编译硬件系统; 4)下载硬件设计到目标FPGA; 5)观察LED的状态; 三、实验原理 和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针脚设置好针脚。 四、实验步骤 建立-----个工程-----输入程序-----软件编译 ------生成下载文件-----下载—调试。

五、实验程序 module led1(led); //模块名led1 output[7:0] led; //定义输出端口 reg[7:0] led; //定义寄存器 always //过程1 begin led = 8'b10101010; //输出0xAA end endmodule 六、思考题 (1)写出使用QuartusII软件开发工程的完整的流程。 建立一个工程—输入程序—软件编译综合—生成下载文件— 下载—硬件调。 实验二流水灯实验 一、实验目的 通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法及软件编程方法。学习简单的时序电路的设计和硬件测试。 二、实验内容 本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。 三、实验原理

实训心得体会_1

实训心得体会 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,比如说实体、结构体的作用、保存的时候不能还有中文及文件名要和实体名相同等。加深了对VHDL 编程语言的理解,尤其是本课题中乘法器的设计让我更清楚进程、变量、信号的使用及它们之间的区别。意识到了EDA 课程设计的重要性以及团队合作对于设计的重要性,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。 在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为

学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 电 气 实 训 心 得 院系:物电院 班级:自动化 姓名:高怀伟 学号:0803110127 电气实训心得 在通过为期8天的电气实训的学习中,我对电气元件及电工技术有一定的感性和理性认识,对电工技术等方面的专业知识做进一步的理解。同时,通过实习得实际生产知识和安装技能,掌握接触器、继电器等控制线路及其元件的工作

相关主题
文本预览
相关文档 最新文档