当前位置:文档之家› verilog-HDL抢答器(两个程序)

verilog-HDL抢答器(两个程序)

verilog-HDL抢答器(两个程序)
verilog-HDL抢答器(两个程序)

一、设计任务

智力竞赛抢答器Verilog HDL要求有6位参赛者进行抢答,有六个抢答信号进行抢答。当有抢答选手按下抢答键后系统能够快速准确的判断是那一组选手按下了抢答信号,由于系统比较小,速度比较快一般不会有同时按下的可能,所以只有六种状态,然后系统能够根据这六种状态进行适时的显示和提示。当有选手按下以后就对抢答信号进行锁存,其他选手的输入无效。然后就是对抢答进行时间限制了,根据设定的时间进行倒计时,当倒计时完成还没有人抢答的时候系统发出警报声音提示时间已经到了。当有选手在主持人未按下抢答键抢答时视为超前抢答,系统显示该选手号,同时蜂鸣器响,该抢答无效。还有清除复位键,抢答完成以后按复位键即可清除已有的状态。通过Verilog HDL程序代码实现系统的各个功能。

二、设计目的与要求

目的:理论联系实际,巩固和运用所学课程,提高分析、解决计算机技术实际问题的独立工作能力,培养学生正确的设计思想,严肃认真、实事求是的科学态度和勇于探索的创新精神。通过对一个智力抢答器的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤,通过Verilog 程序的编写,进一步熟悉Verilog HDL 的语法知识;规范化训练学生撰写技术研究报告,提高书面表达能力。

要求:

掌握FPGA设计系统的一般方法。

熟练掌握使用modelsim软件设计较复杂的数字逻辑电路。

培养学生独立分析问题和解决实际问题的能力。

三、课程设计的内容

设计一抢答器,要求如下:

(1)抢答台数为6;

(2)具有抢答开始后20s倒计时,20秒倒计时后6人抢答显示超时,并报警;

(3)能显示超前抢答台号并显示犯规报警;

(4)系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余

各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

四、系统设计方案

根据系统设计要求可知,系统的输入信号有:主持人按钮inputEn,各选手的抢答按钮分别是inputL1、inputL2、inputL3、inputL4,inputL5,inputL6,主

持人和选手抢答按钮都是低电平有效,系统复位信号clr(高电平有效),系统时钟信号clk,系统的输出信号有:六个人抢答成功与否的指示灯控制信号输出口Led2,犯规抢答时报警器Buzzer(低电平有效)。本系统应具有的功能有:能够准确的判断出是哪位抢答者,当主持人没按抢答时,有人抢答了则蜂鸣器报警,并显示该选手号,当主持人按了抢答键时有人抢答了,同时封锁抢答信号,其他选手抢答无效,不显示该选手号。

五、仿真过程与仿真结果

仿真过程:使用ModelSim进行功能测试,首先在里面进行相应的设置,在工程文件夹下面会新建一个modelsim的文件夹,然后编写实现功能的程序和测试文件,最后保存编译执行就可以进行功能仿真了。

仿真结果:

结果分析与讨论:可以看到0时刻系统复位状态,2s时2号超前抢答(inputEn 为高电平,inputL2为低电平),系统显示2蜂鸣器并犯规报警(Buzzer为低电平),8s时系统复位状态,12s时4号选手先抢答成功(inputL4为低电平)显示4,14s时3号选手后抢答,不显示3,20s时系统复位。

六、总结

通过本次合成设计,我又一遍熟悉了Verilog HDL语言进行建模,并且通过实际操作学会了怎么使用Modelsim这个软件来完成一个完整的课程设计。以及对基于FPGA的系统开发流程有了一个整体认识,我越来越认识到一点,编程

对项目实现有着至关重要的,我们在硬件开发的过程中更应该重视编程,将编程看作是完善开发的不可缺少的一部分。虽然题目简单,但这也磨练了我的意志。第一次做的太复杂了,然后一次次修改,做成最简单功能的。在一次次的反复设计、论证和测试中,提高了逻辑分析能力、全面分析问题的能力以及发现问题、解决问题的能力。通过对各方面资料的收集,我的知识面也进一步拓宽了。同时,我也发现了自己的不足,像语言表达还比较差,不能更清楚地表达自己的意思,逻辑分析能力和编程能力有待提高,有些预先的想法都未能实现。在系统的结构设计上也还有很长的路需要走,这是需要时间去积累的。在今后的学习中我还得加以改进。

七、程序

系统主要Verilog HDL源程序:

module QiangDaQi

(clk,clr,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6,Led2,Buzze r); //一开始声明有哪些端口

input clk,clr,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6;

output [0:7]Led2;//Led2显示选手号

output Buzzer;//低电平时蜂鸣器发出声音

reg EnFlat=1'b0;//EnFlat为高电平时开始抢答

reg [0:2]Led2=3'd0;

reg Buzzer=1'b1;

always @ (posedge clk)//时钟上升沿

begin

if(inputEn==1)//如果主持人没按按钮就有人抢答

begin

if(inputL1==0)

begin Led2=3'd1;Buzzer=1'b0;end

if(inputL2==0)

begin Led2=3'd2;Buzzer=1'b0;end

if(inputL3==0)

begin Led2=3'd3;Buzzer=1'b0;end

if(inputL4==0)

begin Led2=3'd4;Buzzer=1'b0;end

if(inputL5==0)

begin Led2=3'd5;Buzzer=1'b0;end

if(inputL6==0)

begin Led2=3'd6;Buzzer=1'b0;end

end

if(inputEn==1'b0)//为低电平时表示主持人按下抢答按钮开始抢答

begin

EnFlat=1'b1;

if(EnFlat==1'b1)

begin

if(inputL1==1'b0)//一号选手抢答

begin

Led2=3'd1;

EnFlat=1'b0;//为低电平禁止其他选手抢答

Buzzer=1'b1;

end

else //一开始没加else发现仿真结果随着输入数据变化而变化 if(inputL2==1'b0)//二号选手抢答

begin

Led2=3'd2;

EnFlat=1'b0;

Buzzer=1'b0;

end

else

if(inputL3==1'b0)//三号选手抢答

begin

Led2=3'd3;

EnFlat=1'b0;//为低电平禁止其他选手抢答

Buzzer=1'b1;

end

else

if(inputL4==1'b0)//四号选手抢答

begin

Led2=3'd4;

EnFlat=1'b0;//为低电平禁止其他选手抢答

Buzzer=1'b1;

end

else

if(inputL5==1'b0)//五号选手抢答

begin

Led2=3'd5;

EnFlat=1'b0;//为低电平禁止其他选手抢答

Buzzer=1'b1;

end

else

if(inputL6==1'b0)//六号选手抢答

begin

Led2=3'd6;

EnFlat=1'b0;//为低电平禁止其他选手抢答

Buzzer=1'b1;

end

end

end

if(clr)//按下clr键以后各组参数重置,整个比赛重新开始

begin

EnFlat=1'b0;

Led2=3'd0;//为低电平时,选手前的灯点亮

Buzzer=1'b1;//为高电平不响

end

end

endmodule

测试程序

`timescale 1s/1s

module qiangdaqi_test();

reg clk,clr,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6;//输入口

wire [0:7]Led2;//Led2显示选手号

wire Buzzer;//低电平时蜂鸣器发出声音

QiangDaQi

u1(clk,clr,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6,Led2,Buzzer); initial

begin

#0

clk=1;clr=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;inputL6 =1;

#2 inputL2=0;//二号选手超前抢答

#4 clr=1;inputL2=1;//复位

#2 clr=0;

#4 inputEn=0;inputL4=0;//四号选手先抢答显示4

#2 inputL3=0;//三号选手后抢答不显示3

#4 clr=1;inputEn=1;inputL3=1;inputL4=1;

#2 clr=0;

end

always #1 clk=~clk;

endmodule

程序二

module QiangDaQi

(clk,clr,add,stu,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6,Led1,L ed2,Led3,Buzzer);

//一开始声明有哪些端口

input

clk,clr,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6,add,stu;//输入口

output [0:5]Led1;//对应参赛选手前的灯

output [0:7]Led2,Led3;//Led2显示选手号Led3显示选手分数

output Buzzer;//低电平时蜂鸣器发出声音

reg EnFlat=1'b1;//EnFlat为高电平时开始抢答

reg BuClk=1'b0;//为高电平时进入蜂鸣器处理程序

//reg add,stu;//add答题正确加一分stu答题错误减一分

//reg clr=0;//重置键

reg [0:5]Led1=6'b111111;

reg [0:2] answer=3'd0;

reg[0:3] score=4'd0,score1=4'd0,score2=4'd0;

reg[0:3] score3=4'd0,score4=4'd0,score5=4'd0,score6=4'd0;//各选手分数计算reg Buzzer=1;

reg [0:4] daojishi=5'd20;//用于产生20s倒计时

reg [0:7]Led2=8'b,Led3=8'b;

always @ (posedge clk)//时钟上升沿

begin

if(inputEn==1)//如果主持人没按按钮就有人抢答

begin

if(inputL1==0)

begin Led1=6'b111110;answer=3'd1;BuClk=1'b1;end

if(inputL2==0)

begin Led2=6'b111101;answer=3'd2;BuClk=1'b1;end

if(inputL3==0)

begin Led2=6'b111011;answer=3'd3;BuClk=1'b1;end

if(inputL4==0)

begin Led2=6'b110111;answer=3'd4;BuClk=1'b1;end

if(inputL5==0)

begin Led2=6'b101111;answer=3'd5;BuClk=1'b1;end

if(inputL6==0)

begin Led2=6'b011111;answer=3'd6;BuClk=1'b1;end

end

if(inputEn==1'b0)//为低电平时表示主持人按下抢答按钮开始抢答begin

Led1=6'b111111;//为低电平时,选手前的灯点亮

BuClk=1'b0;

Buzzer=1'b1;//为高电平不响

end

if(EnFlat==1'b1)

begin

begin

if(inputL1==1'b0)//一号选手抢答

begin

EnFlat=1'b0;//为低电平禁止其他选手抢答

answer=3'd1;//为高电平时转到对应选手加减分程序中去

BuClk=1'b1;//转到蜂鸣器程序

end

if(inputL2==1'b0)//二号选手抢答

begin

EnFlat=1'b0;

answer=3'd2;

BuClk=1'b1;

end

if(inputL3==1'b0)//三号选手抢答

begin

EnFlat=1'b0;

answer=3'd3;

BuClk=1'b1;

end

if(inputL4==1'b0)//四号选手抢答

begin

EnFlat=1'b0;

answer=3'd4;

BuClk=1'b1;

end

if(inputL5==1'b0)//五号选手抢答

begin

EnFlat=1'b0;

answer=3'd5;

BuClk=1'b1;

end

if(inputL6==1'b0)//六号选手抢答

begin

EnFlat=1'b0;

answer=3'd6;

BuClk=1'b1;

end

end

begin

if(daojishi!=5'd0)//用于1Hz信号

daojishi=daojishi-1;

else//倒计时结束抢答器还没按下则抢答停止且蜂鸣器响 begin

daojishi=5'd20;

EnFlat=1'b0;

BuClk=1'b1;

end

end

begin

if(BuClk==1'b1)//当蜂鸣器标志为1时

Buzzer=1'b0;//蜂鸣器发声

end

begin

if(answer ==3'd1)//第一组加减分

begin

Led1=6'b111110;//点亮该选手前的LED灯

Led2=8'hf9;//选手号数码管显示1

if(add)

score1=score1+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score1!=0))

score1=score1-1;//当主持人判定选手的回答错误时,按下stu键进行加分操作

else score1=0;

score=score1;//把第一组的分数赋值给分数寄存器

end

if(answer ==3'd2)//第二组加减分

begin

Led1=6'b111101;Led2=8'ha4;

if(add)

score2=score2+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score2!=0))

score2=score2-1;//当主持人判定选手的回答错误时,按下stu键进行加分操作

else score2=0;

score=score2;//把第一组的分数赋值给分数寄存器

end

if(answer ==3'd3)//第三组加减分

begin

Led1=6'b111011;Led2=8'hb0;

if(add)

score3=score3+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score3!=0))

score3=score3-1;//当主持人判定选手的回答错误时,按下stu键进行加分操作

else score3=0;

score=score3;//把第一组的分数赋值给分数寄存器

end

if(answer ==3'd4)//第四组加减分

begin

Led1=6'b110111;Led2=8'h99;

if(add)

score4=score4+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score4!=0))

score4=score4-1;//当主持人判定选手的回答错误时,按下stu键进行加分操

else score4=0;

score=score4;//把第一组的分数赋值给分数寄存器

end

if(answer ==3'd5)//第五组加减分

begin

Led1=6'b101111;Led2=8'h92;

if(add)

score5=score5+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score5!=0))

score5=score5-1;//当主持人判定选手的回答错误时,按下stu键进行加分操作

else score5=0;

score=score5;//把第一组的分数赋值给分数寄存器

end

if(answer ==3'd6)//第六组加减分

begin

Led1=6'b011111;Led2=8'h82;

if(add)

score6=score6+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else

if((stu||inputEn)&&(score6!=0))

score6=score6-1;//当主持人判定选手的回答错误时,按下stu键进行加分操作

else score6=0;

score=score6;//把第一组的分数赋值给分数寄存器

end

begin

case(score)//

4'd0:Led3=8'hc0;4'd1:Led3=8'hf9;4'd2:Led3=8'ha4;

4'd3:Led3=8'hb0;4'd4:Led3=8'h99;4'd5:Led3=8'h92;

4'd6:Led3=8'h82;4'd7:Led3=8'hf8;4'd8:Led3=8'h80;

4'd9:Led3=8'h90;default:Led3=8'hff;

endcase

end

end

end

if(clr)//按下clr键以后各组参数重置,整个比赛重新开始

begin

EnFlat=1'b1;

daojishi=5'd20;

Led1=6'b111111;//重置时六个Led全灭

Led2=8'b;//熄灭选手号静态数码管

Led3=8'b;//熄灭分数显示数码管

BuClk=1'b0;//蜂鸣器标志位重置

Buzzer=1'b1;//蜂鸣器控制管脚重置

score=0;

//score1=4'd0;score2=4'd0;score3=4'd0;

//score4=4'd0;score5=4'd0;score6=4'd0;

answer=3'd0;

end

end

endmodule

测试程序

`timescale 1s/1s

module qiangdaqi_test();

reg clk,clr,add,stu,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6; //reg clr;//重置键

//reg add,stu;//add答题正确加一分stu答题错误减一分

wire [0:5]Led1;//对应参赛选手前的灯

wire [0:7]Led2,Led3;//Led2显示选手号Led3显示选手分数

wire Buzzer;//低电平时蜂鸣器发出声音

QiangDaQi

u1(clk,clr,add,stu,inputEn,inputL1,inputL2,inputL3,inputL4,inputL5,inputL6,Led1 ,Led2,Led3,Buzzer);

initial

begin

#0

clk=1;add=0;stu=0;clr=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;input L5=1;inputL6=1;

#2

inputEn=0;inputL1=1;inputL2=0;inputL3=1;inputL4=1;inputL5=1;inputL6=1;add=1; #2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

#2

inputEn=0;inputL1=1;inputL2=0;inputL3=1;inputL4=1;inputL5=1;inputL6=1;add=1; #1 inputL3=0;add=1;

#2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

#2 inputEn=1;inputL1=1;inputL2=0;inputL3=1;inputL4=1;inputL5=1;inputL6=1; #2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

#2 inputEn=0;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;inputL6=1; #40 inputEn=0;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;inputL6=1; #2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

#2

inputEn=0;inputL1=0;inputL2=1;inputL3=1;inputL4=1;inputL5=1;inputL6=1;add=1; #2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

#2

inputEn=0;inputL1=0;inputL2=1;inputL3=1;inputL4=1;inputL5=1;inputL6=1;stu=1; #2

clr=1;add=0;stu=0;inputEn=1;inputL1=1;inputL2=1;inputL3=1;inputL4=1;inputL5=1;i nputL6=1;

#2 clr=0;

end

always #1 clk=~clk;

endmodule

8位竞赛抢答器的设计--实用.docx

单片机课程设计专业电气工程及其自动化 指导教师 学生颜良堂 学号B16 题目8 位竞赛抢答器的设计 2013 年 12 月 25 日

目录 一、设计任务与要求. (3) 二、方案设计与论证 (3) 方案一: (3) 方案二: (4) 三、单元电路的设计 (4) 芯片的选择及工作原理 (4) 系统的硬件构成及功能 (4) 四、软件的设计 (5) 主程序流程图 (6) 主程序 (6) 子程序 (7) 1、开始、复位程序 (7) 2、中断程序 . (8) 3、选手键盘扫描程序. (9) 4、数码管显示程序.12 5、抢答时间设计程序.13 6、延时子程序.13 五、仿真与调试. (14) 抢答器调试结果. (14) 六、结论与心得 (16) 附件 1:电路图 (16) 附件 2:源程序 (17) 附、参考文献? (24)

一、设计任务与要求 以单片机为核心,设计一个8 位竞赛抢答器:同时供8 名选手或8 个代表队比赛,分别用8 个按钮S0~ S7 表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手 的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30 秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声 响持续的时间为左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器 上显示00。 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局 变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30 时置 0 )。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停 止计数,同时将选手编号(按键号)和抢答时间分别显示在LED 上。 二、方案设计与论证 方案一:

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

基于Multisim的八路智力抢答器设计

数字电路课程设计任务书

数字电路设计说明书 学院名称:计算机与信息工程学院 班级名称:通信14 学生姓名:余浩 学号: 2014211453 题目:八路智力抢答器设计 指导教师:刘晓兰 起止日期: 2015.12.21--2016.1.3

第一部分:正文部分 一选题的相关背景: 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就要有一种抢答设备作为裁判员,这就必然离不开抢答器。 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辩认出选手号码。现在大多数抢答器均使用单片机或数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示等功能。 简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 通过这次课程设计,初步掌握数字电路抢答器的调整及测试方法,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解多功能抢答器各单元电路之间的关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。初步掌握多功能抢答器的调整及测试方法。 随着改革开放事业的不断深入,促使人们学科学、学技术、学知识的手段多种多样,抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率校低,且有的要么制作复杂,要么可靠性低,减少兴致。作为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展。目前多数抢答器存在3个不足之处:首先,现场线路连接复杂。因为每个选手位于抢答现场的不同位置,每个选手与控制台之间要有长长的连接线。选手越多,连接线就越多、越乱,这些连接线不仅影响了现场的美观,而且降低了抢答器的可靠性,增加了安装的难度,甚至影响了现场人员的走动。其次,电路复杂。因为简单逻辑电路只完成号码处理、计时、数据运算等功能,其它功能如选手号码的识别、译码、计分显示等仍只能通过数字集成电路完成。采用简单逻辑电路扫描技术识别选手抢按号码时,电路的延迟时间较大,最后导致容易出现选手抢按成功现象。

六路抢答器电路设计教(学)案

《数字电子技术》 项目二设计与制作六路抢答器电路任务三六路抢答器电路制作与调试 教 案 名称: D触发器的应用 课程:数字电子技术 专业:应用电子技术 课时: 6课时

任务三六路抢答器电路制作与调试本次任务,是针对D触发器的应用,完成六路抢答器电路的制作和调试。【设计思想】 本节课以项目任务为载体,以学生自主学习为中心,通过小组合作的组织形式,为学生营造一个自主学习的环境。充分利用多媒体教学手段结合项目设计,步步设疑、环环相扣、贯通主线,引导学生自主探究,通过学生动手实践,电路调试来发现问题、探究问题、解决问题,以提高实践、交流、协作等各方面的能力,为将来成为高技能型人才做好铺垫。 【教学容】 课程标准要求能根据具体的工作任务,完成简单数字电路的设计。该课题理论知识来源于由高等教育出版,阎石老师主编的《数字电子技术基础》中第三章第3节。对于职业学校的学生,重点是培养解决实际问题的能力,学以致用。因此,参考课程标准采用项目教学,设计了项目二:设计与制作六路抢答器电路来完成这次的教学任务。 本节是时序逻辑电路的基础容,为今后学习时序逻辑电路奠定理论基础,具有重要的理论指导作用。通过本课的学习,让学生明确数字电路的制作和调试方法,体会到所学知识点相互之间的联系及在实际中的应用,因此占有非常重要的地位。 这部分知识也是数字电路入门的门槛之一,更是将来从事EDA行业的启蒙知识,因此,要求学生有较强的分析能力和综合能力。 本项目共有三个任务: 1. 完成基本D触发器的功能测试; 2. 用集成D触发器设计电路原理图; 3. 根据电路原理图完成六路抢答器的制作与调试。 这次课主要针对最后一个任务:六路抢答器的制作与调试进行教学设计。【教学目标】 ?知识目标: 1.掌握D触发器的基本逻辑功能。 2.理解集成D触发器的工作原理。 ?技能目标: 1.通过项目调试和分析,培养学生分析问题、解决问题的能力。 2.通过项目拓展,培养学生查阅资料、利用资源的能力。 ?情感目标: 1.通过项目教学、引导探究,培养学生合作精神。 2.体验工程职业素养在学习与工作中的重要意义。

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

基于EDA的智力抢答器的课程设计说明

基于EDA的智力抢答器的课程设计说明

山东建筑大学课程设计说明书 目录 摘要 1、课程设计目的与要求 (1) 2、课程设计原理 (1) 3、课程设计内容 .................................................................................... .. (2) 3.1软件整体设计 (3) 3.2总体设计电路 (3) 3.3模块设计和相应模块程序 (4) 3.3.1抢答鉴别模块 (4) 3.3.2计时模 块 (7) 3.3.3数据选择模块和译码模 块 (9) 3.3.4仿真及仿真结果分析 (11) 结论与致谢 (12) 参考文献 (13) 附录 (14)

摘要 抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。若抢答时间内无人抢答,警报器发出警报。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。 因此要完成抢答器的逻辑功能,该电路至少应包括抢答鉴别模块、计时模块、选择模块和报警模块组成。 关键词:抢答鉴别封锁计时报警Verilog HDL

山东建筑大学课程设计说明书 1、课程设计目的与要求 根据设计要求分析智力抢答器的功能,掌握设计中所涉及到抢答锁存;抢答计时;数据选择;译码显示、Verilog HDL语言的编程技术,阐明设计原理。 设计供4个代表队比赛用的智力抢答器,技术参数和设计要求: (1)系统复位和抢答控制开关。由主持人控制。 (2)复位后,主持人宣读试题,按下开始键,发动开始抢答命令,启动抢答限时计数器。若在按下开始按键前有人抢答,犯规电路将发出声光提示,显示犯规组号。 (3)抢答器具有锁存与显示功能。在限时内,选手按动按钮,锁存相应的编号,并在LED数码管上显示。若限时到,则声光显示。 (4)抢答器具有定时抢答功能。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 2、课程设计原理 2.1功能分析 抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮无效;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用LED数码管显示抢答组别,蜂鸣器发出2~3s的音响。 2.2设计思路 此设计问题可分为第一信号鉴别、锁存模块、答题计时电路模块、计分电路模块和扫描显示模块四个模块构成。 ①此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 ②形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

PLC的六路抢答器设计

PLC的六路抢答器设计 摘要 伴随我国经济文化水平以及实业公司的不断的壮大,对于商业活动中公正的竞争与裁决面临了一个瓶颈,比如很多的证券公司、股票与基金的交易加上不同单位组织的各种智力竞赛等,面对于交易上遇到的种种障碍,抢答器孕育而生。随着抢答器的不断完善,由最初的优先权编码器组成的抢答器逐渐发展成为PLC 以及单片机组成的微型抢答器,把原来的复杂线路简单化、运算速度快速化、抗干扰能力强并且大大提高的它的可靠性、精确性与功能多样性。更能满足商业或者单位对于公然、公正、公平性质的交易尤其对于参加知识智力竞赛以及一些活动的抢答器的需求,深受广大群众的青睐。本设计将以西门子PLC为核心控制器,设计一个六路抢答器。设计内容包括主要由系统结构图、梯形图、程序指令等实现在选手知识竞赛时抢答者的座位号的控制,及时公正的反应出抢答者的抢答内容实现计分功能。 关键词:PLC;梯形图;抢答器;可编程序控制器 ABSTRACT With China's economic and cultural levels and industrial company continues to grow, for business activities in an impartial competition and award of facing a bottleneck, such as many securities companies, fund transactions with different units of the various intelligence contest, etc., in the face of on the hand is easy to encounter all sorts of obstacles, conceived and students. With the continuous improvement of the responder, composed of initial priority encoder responder gradually developed into composed of PLC and single chip micro responder, the original complex has the advantages of simple circuit,impartial competition and award is strong and greatly improve the reliability, accuracy and multiple functions of. To meet the business unit or the demand for openness, fairness of the transaction the face of by the people of all ages. This design will be

八人抢答器的课程设计

郑州电力职业技术学院毕业生设计 题目:八人抢答器课程设计 系别:电力工程系 专业:供用电技术 班级:09供电三班 学号: 姓名:张华永 设计成绩指导教师赤娜 答辩成绩主答辩教师 综合成绩答辩委员会主任 目录 一引言 (4) 1.1 设计要求 (4) 1.2 功能要求 (4) 1.3 功能介

绍 (4) 二方案设计与论证 (4) 2.1 各部分电路简述 (4) 2.2 设计方案简述 (5) 三系统分析与设计 (5) 3.1 抢答器电路设计与相关元器件 (5) 3.2 定时电路设计与相关元器件 (7) 3.3 报警电路设计与相关元器件 (9) 3.4 时序电路与相关元器件 (9) 3.5 智力抢答器电路原理图 (10)

3.6 元器件清单 (11) 设计总结体会 (13) 参考文献 (14) 摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对它的认识也逐步加深。人们也利用了电子技术以及相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问题中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经LED显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手答题完成后,主持人将系统恢复至零。 关键词:抢答;计时;锁存 一引言 (一)设计要求 1.设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛. 他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

八路智力竞赛抢答器课程设计绝度详细

电子技术课程设计 八 路 智 力 抢 答 器 院系: 电气工程与自动化 班级: 姓名: 学号: 指导老师:

目录 一引言 (1) 1.1 设计要求 (1) 1.2 功能要求 (2) 1.3 整体概要 (2) 二方案设计与论证 (3) 2.1 方案一 (3) 2.2 方案二 (3) 三系统分析与设计 (4) 3.1 抢答器电路设计与相关元器 (4) 3.2 定时电路设计与相关元件 (6) 3.3 报警电路设计与相关元器件 (8) 3.4 时序电路与相关元器件 (8) 3.5 智力抢答器电路原理图 (9) 3.6 元器件清单 (10) 四电路仿真 (11) 五实物制作 (13) 六元器件清单 (16) 七设计总结体会 (17) 八参考文献 (18)

一引言 智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 1.1、设计要求 (1)设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛.他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 (2)节目主持人设置一个控制开关,用来控制系统的复位和抢答开始. (3)抢答器具有数据锁存和显示功能.抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器发出声音提示.此外封锁输入电路,禁止其它选手抢答.优先抢答的选手的编号一直保持到主持人将系统复位为止. 1.2、功能要求 (1)抢答器具有定时抢答功能.且一次抢答的时间可由主持人设定.当节目主持人启动”开始”键后,要求定时器立即开始减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5S左右. (2)参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统消零为止. (3)如果定时抢答器时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器显示00. 1.3、整体概述 (1)数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分

六路抢答器设计报告

六路数字抢答器设计报告 目录 一、任务设计和要求 (2) 二、设计方案与论证 (4) 三、电路设计计算与分析 (5) 3.1 主持人控制电路 (5) 3.2 10S倒计时电路 (7) 3.3 控制显示电路 (10) 3.4 主要元器件介绍 (12) 四、总结与心得 (18) 五、附录 (19) 附录一:元器件清单 (19) 附录二:六路抢答器原理图 (20) 附录三:六路抢答器的仿真 (21) 六、参考文献 (22) 1

一、设计任务和要求 六路数字抢答器的设计任务如下: 1.主持人按动启动按钮,抢答开始,同时开始10秒倒计时。 2.6名抢答选手编号分别为1-6,各自控制一个按钮进行抢答,有人按下时扬声器给出声音提示,倒计时电路停止计时,同时显示抢答选手的号码。 3.选用七段LED作为显示器。 4.完成电路的理论设计。 5.参数的计算和有关器件的选择。 6. 对电路进行仿真。 7.撰写设计报告书一份:A3图纸1张。报告书要求写明以下内容:(B5纸) (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (3)仿真过程的实现 课程设计要求如下: 课程设计大体可分成以下三个阶段: 1.设计与计算阶段 学生根据课程设计任务、要求和条件进行总体方案的设计,通过论证和选择,确定总体方案。此后是对方案中单元电路 2

进行选择和设计计算,包括元器件的选用和电路参数的计算。最后画出总体电路图,选用元件一览表。 2.计算机仿真及电路制版 运用仿真软件EWB或MULTISIM对设计电路进行仿真,排除电路故障、调整元器件参数、修改电路,使之达到设计指标要求。最后使用PROTEL软件完成对电路的PCB制版(选作)。 3.撰写设计报告阶段 设计报告是学生对课程设计全过程的系统总结。学生应按规定的格式撰写设计报告。设计报告的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)原理框图,总体电路图、计算机电路仿真图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)收获体会、存在问题和进一步的改进意见等。 3

8位竞赛抢答器课程设计

目录 1. 原理分析(by 张潇) (2) 1.1 设计任务 (2) 1.2 性能指标 (2) 1.3 工作原理 (2) 2. 方案选择(by 张潇) (3) 2.1 方案设定 (3) 2.2 方案比较 (3) 2.3 方案选择 (3) 3. 电路原理图绘制及仿真(by 王倩) (3) 3.1 所需元器件型号及数量 (3) 3.2 电路原理图 (4) 3.3 电路仿真结果 (5) 4. Pcb图绘制(by 朱文广) (5) 4.1 pcb绘制步骤 (5) 4.2 pcb绘制原则 (5) 4.3 8路抢答器pcb图 (7) 5. 综合调试(by 朱文广) (7) 5.1 软件调试 (7) 5.2 硬件调试 (9) 6. 总结(by 王倩) (10) 附录1:电路仿真图 (11) 附录2: 8路抢答器完整程序 (12)

1.1 设计任务 以单片机为核心,设计一个8位竞赛抢答器,同时供8名选手或8个代表队比赛。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间可由主持人设定。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 1.2 性能指标 电源电压:直流5V±10% 选手组数:2-8组 初始抢答倒计时:20s 初始回答倒计时:30s 倒计时范围:1-99s可设 倒计时提示时间:最后5s 1.3 工作原理 八路数字抢答器原理框图如图1所示,其工作原理为:接通电源后,主持人未按下开始抢答,抢答器处于禁止状态,数码管显示“----”;主持人宣布“开始”同时按下开始抢答按键,抢答倒计时开始计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,回答倒计时开始计时、禁止二次抢答、数码管显示抢答选手编号以及回答剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 图1 八路抢答器原理框图

8路抢答器基于fpga解析

基于FPGA的多路数字抢答器的设计 摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。 关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示 目录 第一章绪论................. . (2) 第二章 FPGA原理及相关开发工具软件的介绍 (3) 2.1 FPGA的简介..... . (3) 2.1.1 FPGA的发展与趋势......... .. (3) 2.1.2 FPGA的工作原理及基本特点 (4) 2.1.3 FPGA的开发流程 (5) 2.1.4 FPGA的配置... . (5) 2.2 软件介绍............... .. (6) 2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7) 第三章数字抢答器系统设计方案和主要模块 (8) 3.1 功能描述及设计架构...... .. (8) 3.2 抢答器程序流程图以及各模块代码分析 (10) 3.2.1 抢答器程序结构及主程序流程图 (10) 3.2.2 秒分频模块 (15) 3.2.3 倒计时以及倒计时剩5S时报警模块...... 错误!未定义书签。 3.2.4 倒计时显示及倒数计时设置显示模块 (20) 3.2.5 选手号显示及违规报警模块 (26) 3.2.6倒计时设置模块 (30) 3.2.7顶层模块 (35) 3.3 硬件电路 (37) 3.3.1 按键电路图 (38) 3.3.2 数码管显示电路图 (38) 3.3.2 蜂鸣器电路图 (39) 第四章管脚分配及功能 (40) 第五章总结 (41) 参考文献 (418) 第一章绪论 1.1 课题研究背景 随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

智力竞赛抢答器逻辑电路设计方案

智力竞赛抢答器逻辑电路设计 一、抢答器的简要 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起 参赛者和观众的极大兴趣,并且能在极短的时间,使人们增加一些科学知识和生活知识。 实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答 两种。必答有时间限制,至恫寸要告警,回答问题正确与否,由主持人判别加分还是减分, 成绩评定结果要用电子装置显示。抢答时,要判定哪组优先,并予以指示和鸣叫。 二、抢答器的任务与要求 设计要求:每组设置一个抢答器按钮,供抢答者使用。电路具有第一抢答信号鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若抢答者按动抢答开关,则该组指示灯亮并组别鉴别显示电路显示抢答者的组别,同时扬声器发出“嘀-嘟”的双响,音响持续2-3S。电路具备自锁功能,使别组的抢答器开关不起作用。 设计任务:本题的根本任务是准确判别第一抢答者的信号并将其锁存。实现这功能可用触发器或锁存器等。在得到第一信号后应该将其电路的输出封锁,使其他组的抢答信号无效。同时还必须注意,第一抢答信号必须在主持人发出抢答命令后才有效,否则应视为提前抢答而犯规。当电路形成第一抢答信号之后,LED显示组电路显示其组别。还可鉴别出的第一抢答信号控制一个具有两种工作频率交换变化的音频振荡器工作,使其推动扬声器发出响音,表示该题抢答有效。 三、设计方案 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1.抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2.判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

基于单片机的八位抢答器课程设计报告

单片机课程设计报告 题目:电子抢答器系统设计 学院:电气信息学院 专业:通信工程 姓名: 学号: 指导老师:孙晓玲 一、设计任务 设计一个八路的电子抢答器系统,实现功能为:可供8个选手使用,可显示30s 倒计时,并可显示出抢到的选手号,并伴有提示音。 要求:(1)设计出硬件电路; (2)设计出软件编程方法,并写出源代码; (3)用PROTEUS进行仿真; 二、方案设计 1.设置一个定时开关,开关按下后开始30s倒计时,在定时开关按下之前进行抢答无效,使用两位数码管显示倒计时。 2.在30s内,等待八个按钮中任意一个按下,按下后使用一位数码管显示按下的选手号,同时蜂鸣器发出响声。 3.一旦有选手按下后,其他选手再按下均无效,同时30s倒计时停止计时,等待复位信号。 三、硬件设计 (一)选用AT89C51单片机芯片 单片机(SCM)是单片微型计算机(Single Chip Microcomputer)的简称。它是把中央处理器CPU、随机存储器RAM、只读存储器ROM、I/O接口电路、定时/计数器以及输入输出适配器都集成在一块芯片上,构成一个完整的微型计算机。它的最大优点是体积小,可放在仪表内部。但存储量小,输入输出适配器简单,功能较低。目前,单片机在民用和工业测控领域得到最广泛的应用,早已深深地融入人们的生活中。 简单的说,用单片机系统来设计抢答器,实现两组的抢答时间即使是相差几微秒,也可分辨出哪组优先答题。

P0端口(P0.0-P0.7):P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3端口(P3.0-P3.7): P3口管脚是一个带有内部上拉电阻的8位的双向I/O端口,可接收输出4个TTL 门电流。当P3口写入“1”后,它们被内部上拉为高电平, 并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。(二)关键电路 1.时钟电路 一般选用石英晶体振荡器。此电路在加电大约延迟10ms后振荡器起振,在XTAL2引脚产生幅度为3V左右的正弦波时钟信号,其振荡频率主要由石英晶振的频率确定。电路中两个电容 C1,C2的作用有两个:一是帮助振荡器起振;二是对振荡器的频率进行微调。C1,C2的典型值为30PF。 单片机在工作时,由内部振荡器产生或由外直接输入的送至内部控制逻辑单元的 时钟信号的周期称为时钟周期。其大小是时钟信号频率的倒数,常用fosc表示。如时钟频率为12MHz,即fosc=12MHz,则时钟周期为1/12μs。 2.复位电路 AT89C51的复位由外部的复位电路实现。复位电路通常采用上电自动复位和按钮复位两种方式。本次设计采用按钮复位方式。 单片机的第9脚RST为硬件复位端,只要持续4个机器周期的高电平即可实现复位,硬件复位后的各状态可知寄存器以及存储器的值都恢复到了初始值。 3.数码管显示电路 本次课程设计采用了7SEG-MPX2-CC 的两位7段共阴极数码管,用来显示30s倒计时,和7SEG-MPX1-CC的一位7段共阴极数码管,用来显示抢答中的选手号码。位选端分别与P2口的第七位,第六位以及第零位相接。同时7段数码管线段通过上拉电阻接power,实现数码管的点亮。 4.报警电路 这里能利用程序来控制单片机P3.7口线反复输出高电平或低电平,即在该口线上产生一定频率的矩形波,接上扬声器就能发出一定频率的声音,再利用延时程序控制“高”“低”电平的持续时间,就能改变输出频率,从而改变音调,使扬声器发出不同的声音。 5.按钮输入电路

基于c语言知识单片机8位竞赛抢答器设计课程规划设计

课程设计报告 课程名称:单片机课程设计 报告题目:8位竞赛抢答器的设计学生姓名: 所在学院:信息科学与工程学院专业班级: 学生学号: 指导教师: 2013 年12月25日

课程设计任务书

摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C52单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-30s设定;可以显示是哪位选手有效抢答,正确按键后有5s的音乐提示(即扬声器发出响声);抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 关键词:89c52;电路;显示;按键

目录 一、概述 (1) 二、方案设计 (1) 三、硬件电路设计 (2) 1、抢答器的电路框图 (2) 2、单元电路 (3) 2.1、抢答器电路 (3) 2.2、时序控制电路 (3) 2.3、复位电路 (3) 3、时钟震荡电路 (3) 4、报警电路 (3) 四、软件设计 (4) 1、系统主程序 (4) 2、系统程序 (5) 五、结论与心得 (10) 六、参考文献 (10)

数电multisim 智力抢答器课程设计报告

一.设计题目: 四人智力竞赛抢答器 二.主要内容: 设计一个具有抢答,定时,显示功能的四人抢答电路 三.具体要求: (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。 (2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始,打开后抢答电路清零。 (3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。即有抢答信号输入时,锁存相应的编号,并在LED数码管上显示出来。 此时再按其他任何一个抢答器开关均无效,优先抢答选手的编 号一直保持不变,直到主持人将系统清除为止。 四.进度安排: 第一天上午:介绍设计所用仿真软件;布置任务。下午:查阅资料。第二天全天:消化课题,了解设计要求,明确被设计系统的全部功能。第三天全天:确定总体设计方案,画出系统的原理框图。 第四,五天全天:绘制单元电路并对单元电路进行仿真,改进。 第六天上午:完成整体设计并仿真验证。下午:准备课程设计报告。第七天上午:对课程设计进行现场运行检查,给出实践操作成绩。五.成绩评定 成绩分为三部分:考勤占30%,实践操作占40%,课程设计报告占30%。

四人智力竞赛抢答器 设计内容: 设计一个具有抢答,定时,显示功能的四人抢答电路 设计目的与要求: (1)掌握抢答器的工作原理及其设计方法。 (2)学会用Multisim10软件操作实验内容。 (3)掌握设计性试验的实验方法 基本功能: (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。 (2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号,并在LED数码管上显示出来,同时扬声器发生声响。 此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。

相关主题
文本预览
相关文档 最新文档