当前位置:文档之家› 哈工大数电自主设计

哈工大数电自主设计

哈工大数电自主设计
哈工大数电自主设计

姓名班级学号

实验日期节次教师签字成绩

PWM 占空比测量数字电路设计与制作

1. 实验目的

(1) 掌握74LS123,74LS90,74LS273在实际电路设计中的应用。 (2) 掌握在实际应用时,电路的设计原理与元件参数的选择。 (3) 掌握芯片CD4511以及数码管的电路设计与使用。

(4)

掌握用BASYS2开发板FPGA 器件编程完成数字电路设计。

2. 总体设计方案或技术路线

本实验需要测量一个PWM 波的占空比,就需要测量一个PWM 信号的高电平时间占周期的比值。本实验中采用一个100倍频的时钟信号作为信号源,通过间接测量待测信号高电平时间内时钟信号脉冲数来测量待测信号的占空比。本电路主要分为三个部分。

1)首先是计数器部分,本实验中通过计数器测量时钟信号的脉冲信号数来反应待测信号的占空比。则分别使用两个10进制计数器来分别表示占空比的十位和个位,在这里选用2/5分频异步加法计数器74LS90来完成这个功能。

图1 74LS90引脚图

本芯片A CP 控制A Q 一个二分频计数器,B

CP 控制D C B Q Q Q 五分频计数器,使时钟从A CP 输入,在外部将二进制计数器的输出A Q 与B CP 相连切将0R 和9S 全部接地构成一个十

进制加法计数器,状态转换图为:

将两个计数器级联可以做成100进制计数器,如图:

图2 两个计数器级联

这里使用100倍频的方波和待测信号经过一个与非门的信号作为计数器的时钟输入,达到测量待测信号高电平时对脉冲数进行计数。

2)计数器输出的信号需要通过锁存器锁定来达到稳定显示的计数器输出数据,本次实验使用8位数据地址锁存器74LS273来完成功能。

图3 74LS273引脚图

分别将两个74LS90的输出引脚D C B A Q Q Q Q 与74LS273的01234567D D D D D D D D 相连,在锁存信号输出后锁定74LS273的输出端,使数码管稳定的显示。

3)触发器电路。本实验需要产生信号对74LS273进行锁存与对74LS90所计的时钟信号进行清零。本实验选用74LS123单稳态触发器产生锁存与清零信号。

图474LS123引脚图

将待测信号接入下降沿触发的74LS123的1A 引脚,在待测信号的高电平结束后产生一个锁存信号,再将1Q 输出端连接到2A 端使之在2Q 端输出一个时间仅落后于锁存信号的清零信号。

4)实验成功后准备选用实验室分发的BASYS2开发板完成相同功能。

首先需要对板子自带的时钟源进行分频,已达到所需频率,在这里,100倍频的计数电路通过分频得到。同时设置两个变量分别作为十位与个位的计数与存储。同时通过显示程序在数码管上显示测得的占空比。

5)本电路主要通过计数器统计在待测信号高电平时基准信号的脉冲数间接测量占空比,通过74LS123产生锁存和清零信号,用74LS90计数,74LS273进行锁存,用试验箱自带的CD4511或者74LS48译码测量占空比。

门电路

计数器

锁存器译码显示器单稳态触发器

基准信号基准信号

清零信号

锁存信号

图5结构框图

3.实验电路图

A

图6实验电路图

4. 仪器设备名称、型号

DSO-X 2002A型示波器

U1241B手持万用表

DP832 可编程线性直流电源

电子学实验箱

单稳态触发器74LS123

8位数据地址锁存器74LS273

2/5分频异步加法计数器74LS90

两输入端与非门74LS00

BASYS2开发板

5.理论分析或仿真分析结果

1.触发器电路产生锁存信号:

图7锁存信号与清零信号

2.仿真输出占空比

图8仿真结果

3.仿真电路图

图9仿真电路图

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)

1.搭建电路验证锁存清零电路功能

按照图5电路图连接电路,连接所需元器件。

开启5V直流稳压电源,用信号发生器产生待测信号与基准信号,将示波器调制适当的档位后用两个通道分别测量B,C和D处波形,待波形稳定后,绘制波形。

2.测试电路功能

多次改变输入信号占空比,观察测试电路显示占空比与原占空比数值,计算误差。

附程序如下:

`timescale 1ns / 1ps

module duty(clk,OUT,CTL,in,rst);

input clk,in,rst;

output[7:0] OUT;

output[3:0] CTL;

reg[7:0] OUT,OUT1,OUT2,OUT3,OUT4;

reg[3:0] CTL=4'b1110,count0,count1;

reg E,F;

reg clk_1Hz,clk_500Hz,clk_5000Hz;

integer clk_1Hz_cnt,clk_500Hz_cnt,clk_5000Hz_cnt;

/******************分频程序**************************/

always @(posedge clk)

if(clk_1Hz_cnt==32'd2*******-1) //分频1Hz

begin

clk_1Hz_cnt <= 1'b0;

clk_1Hz <= ~clk_1Hz;

end

else

clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1;

always @(posedge clk)

if(clk_500Hz_cnt==32'd50000-1) //分频500Hz

begin

clk_500Hz_cnt <= 1'b0;

clk_500Hz <= ~clk_500Hz;

end

else

clk_500Hz_cnt <= clk_500Hz_cnt + 1'b1;

always @(posedge clk)

if(clk_5000Hz_cnt==32'd5000-1) //分频5000Hz

begin

clk_5000Hz_cnt <= 1'b0;

clk_5000Hz <= ~clk_5000Hz;

end

else

clk_5000Hz_cnt <= clk_5000Hz_cnt + 1'b1;

/********************计数程序********************/

always @(negedge clk_5000Hz)

if(rst==1) //复位键按下时计数清零

begin

count0<=4'b0000;

count1<=4'b0000;

end

else if(in==1) //待测信号高电平时计数

if(count0>=4'b1001) //个位计数到9时进位十位+1

begin

count0<=4'b0000;

count1<=count1+4'b1;

end

else

begin //检测到一个下降沿计数

count0<=count0+4'b1;

end

else

begin //输入信号低电平是停止计数并清零count0<=4'b0000;

count1<=4'b0000;

end

/************数码管片选************/

always @(posedge clk_500Hz)

begin

CTL <= {CTL[2:0],CTL[3]};

end

/**********数码管显示**************/

always @(CTL)

case(CTL)

4'b0111:

OUT=OUT1;

4'b1011:

OUT=OUT2;

4'b1101:

OUT=OUT1;

4'b1110:

OUT=OUT2;

default:OUT=4'hf;

endcase

/*************数码管根据计数器显示****/ always @(negedge in)

if(count1==0&&count0==0);

else

begin

case(count1)

4'b0000:

begin

OUT1=8'b00000011;

end

4'b0001:

begin

OUT1=8'b10011111;

end

4'b0010:

begin

OUT1=8'b00100101;

end

4'b0011:

begin

OUT1=8'b00001101;

end

4'b0100:

begin

OUT1=8'b10011001;

end

4'b0101:

begin

OUT1=8'b01001001;

end

4'b0110:

begin

OUT1=8'b01000001;

end

4'b0111:

begin

OUT1=8'b00011111;

end

4'b1000:

begin

OUT1=8'b00000001;

end

4'b1001:

begin

OUT1=8'b00001001;

end

endcase

case(count0)

4'b0000:

begin

OUT2=8'b00000011;

end

4'b0001:

begin

OUT2=8'b10011111;

end

4'b0010:

begin

OUT2=8'b00100101;

end

4'b0011:

begin

OUT2=8'b00001101;

end

4'b0100:

begin

OUT2=8'b10011001;

end

4'b0101:

begin

OUT2=8'b01001001;

end

4'b0110:

begin

OUT2=8'b01000001;

end

4'b0111:

begin

OUT2=8'b00011111;

end

4'b1000:

begin

OUT2=8'b00000001;

end

4'b1001:

begin

OUT2=8'b00001001;

end

endcase

end

endmodule

7.实验结论

本次实验了解了74LS123,74LS90,74LS273的应用电路与使用,设计的电路能很好的反应待测信号的占空比误差不超过1%,达到设计要求。

8.实验中出现的问题及解决对策

试验中发现有些元器件在试验箱中收到干扰很大,无法正常产生波形,在波形较乱时,应该更换芯片槽或者更换芯片,以及尽量避开受影响较大的芯片,达到实验目的。

9.本次实验的收获和体会、对电路实验室的意见或建议

本次试验我跟深刻的了解了实验课外的芯片,体验了设计电路的过程,知道了很多要求,对以后的学习打下基础。

希望实验室能加快更新实验仪器,更好的为学生服务。

10.参考文献

[1]廉玉欣. 电子技术基础实验教程.北京:机械工业出版社,2013:111-169

[2]孟涛. 电工电子EDA实践教程.北京:机械工业出版社,2012:166-170

[3] 王淑娟. 数字电子技术基础. 北京:高等教育出版社,2011:93-411.

哈工大电路答案-1

答案1.1 解:图示电路电流的参考方向是从a 指向b 。当时间t <2s 时电流从a 流向b,与参考方向相同,电流为正值;当t >2s 时电流从b 流向a ,与参考方向相反,电流为负值。所以电流i 的数学表达式为 2A 2s -3A 2s t i t ? 答案1.2 解:当0=t 时 0(0)(59e )V 4V u =-=-<0 其真实极性与参考方向相反,即b 为高电位端,a 为低电位端; 当∞→t 时 ()(59e )V 5V u -∞∞=-=>0 其真实极性与参考方向相同, 即a 为高电位端,b 为低电位端。 答案1.3 解:(a)元件A 电压和电流为关联参考方向。元件A 消耗的功率为 A A A p u i = 则 A A A 10W 5V 2A p u i === 真实方向与参考方向相同。 (b) 元件B 电压和电流为关联参考方向。元件B 消耗的功率为 B B B p u i = 则 B B B 10W 1A 10V p i u -===- 真实方向与参考方向相反。 (c) 元件C 电压和电流为非关联参考方向。元件C 发出的功率为 C C C p u i = 则 C C C 10W 10V 1A p u i -===-

真实方向与参考方向相反。 答案1.4 解:对节点列KCL 方程 节点③: 42A 3A 0i --=,得42A 3A=5A i =+ 节点④: 348A 0i i --+=,得348A 3A i i =-+= 节点①: 231A 0i i -++=,得231A 4A i i =+= 节点⑤: 123A 8A 0i i -++-=,得123A 8A 1A i i =+-=- 若只求2i ,可做闭合面如图(b)所示,对其列KCL 方程,得 28A-3A+1A-2A 0i -+= 解得 28A 3A 1A 2A 4A i =-+-= (b) 答案1.5 解:如下图所示 (1)由KCL 方程得 节点①: 12A 1A 3A i =--=- 节点②: 411A 2A i i =+=- 节点③: 341A 1A i i =+=- 节点④: 231A 0i i =--= 若已知电流减少一个,不能求出全部未知电流。 (2)由KVL 方程得

哈工大机械原理课程设计

Harbin Institute of Technology 机械原理课程设计说明书 课程名称:机械原理 设计题目:产品包装生产线(方案1) 院系:机电学院 班级: 设计者: 学号: 指导教师: 设计时间:

一、绪论 机械原理课程设计是在我们学习了机械原理之后的实践项目,通过老师和书本的传授,我们了解了机构的结构,掌握了机构的简化方式与运动规律,理论知识需要与实践相结合,这便是课程设计的重要性。我们每个人都需要独立完成一个简单机构的设计,计算各机构的尺寸,同时还需要编写符合规范的设计说明书,正确绘制相关图纸。 通过这个项目,我们应学会如何收集与分析资料,如何正确阅读与书写说明书,如何利用现代化的设备辅助工作。这种真正动手动脑的设计有效的增强我们对该课程的理解与领会,同时培养了我们的创新能力,为以后机械设计课程打下了坚实的基础。 二、设计题目 产品包装生产线使用功能描述 图中所示,输送线1上为小包装产品,其尺寸为长?宽?高=600?200?200,小包装产品送至A处达到2包时,被送到下一个工位进行包装。原动机转速为1430rpm,每分钟向下一工位可以分别输送14,22,30件小包装产品。 产品包装生产线(方案一)功能简图 三、设计机械系统运动循环图 由设计题目可以看出,推动产品在输送线1上运动的是执行构件1,在A处把产品推到下一工位的是执行构件2,这两个执行构件的运动协调关系如图所示。 ?1?1 执行构件一 执行构件二 ?01?02 运动循环图

图中?1 是执行构件1的工作周期,?01 是执行构件2的工作周期,?02是执行构件2的动作周期。因此,执行构件1是做连续往复运动,执行构件2是间歇运动,执行构件2的工作周期?01 是执行构件1的工作周期T1的2倍。执行构件2的动作周期?02则只有执行构件1的工作周期T1的二分之一左右。 四、 设计机械系统运动功能系统图 根据分析,驱动执行构件1工作的执行机构应该具有的运动功能如图所示。运动功能单元把一个连续的单向传动转换为连续的往复运动,主动件每转动一周,从动件(执行构件1)往复运动一次,主动件转速分别为14,22,30rpm 14,22,30rpm 执行机构1的运动功能 由于电动机的转速为1430rpm ,为了在执行机构1的主动件上分别得到14、22、30rpm 的转速,则由电动机到执行机构1之间的总传动比i z 有3种,分别为 i z1= 141430 =102.14 i z2=221430=65.00 i z3=30 1430=47.67 总传动比由定传动比i c 和变传动比i v 两部分构成,即 i z1=i c i v1 i z2=i c i v2 i z3=i c i v3 3种总传动比中i z1最大,i z3最小。由于定传动比i c 是常数,因此,3种变传动比中i v1最大,i v3最小。为满足最大传动比不超过4,选择i v1 =4 。 定传动比为 i c = v1 z1i i =4102.14=25.54 变传动比为 i v2= c z2i i =54.2565=2.55 i v3= c z3i i =54 .2547.67=1.87 传动系统的有级变速功能单元如图所示。 i=4,2.55,1.87 有级变速运动功能单元

最新哈工大数电期末试题+答案

一、选择与填空(共8分) 1.函数表达式Y =C D C B A + ++,则其对偶式为(不必化简): Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 121 -或0.0039; 5. D ; 6. 210 二、回答下列问题(共10分) 1.电路如图2-1所示。V 5CC =V ,R 取值合适,写出F 的表达式(不必化简)。

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

哈工大模电期末考试题及答案

一、 填空(16分) 1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。 2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。 3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。 4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。 5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。 6、下列说法正确的画√,错误的画× (1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。 ( × ) (2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。 ( × ) (3)图1所示的文氏桥振荡电路中,对于频率为01 2f RC π=的信号,反馈信 号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。 ( × ) 第 1 页 (共 8 页) C C R R + + + +R R 3 4 o U ?f U ?t 图1

试 题: 班号: 姓名: 二、(18分)基本放大电路及参数如图2所示,U BE =0.7V ,R bb ’=300?。回答下列各问: (1) 请问这是何种组态的基本放大电路?(共射、共集、共基) (2) 计算放大电路的静态工作点。 (3) 画出微变等效电路。 (4) 计算该放大电路的动态参数:u A ,R i 和R o (5) 若观察到输出信号出现了底部失真,请问应如何调整R b 才能消除失真。 图2 答:(1)是共射组态基本放大电路 (1分) (2)静态工作点Q : Vcc=I BQ *R b +U BEQ +(1+β) I BQ *R e ,即15= I BQ *200k ?+0.7V+51* I BQ *8k ?, ∴I BQ =0.0235mA (2分) ∴I CQ =βI BQ =1.175mA , (2分) ∴U CEQ =V cc-I CQ *R C -I EQ *R E ≈V cc-I CQ *(R C +R E )=15-1.175*10=3.25V (2分) (3)微变等效电路 o (4分) (4)r be =r bb ’+(1+β)U T /I EQ =0.2+51*26/1.175=1.33K ? A u =-β(R c //R L )/r be =-50*1.32/1.33=-49.6 (2分) Ri=R b //r be ≈1.33K ?; (2分) Ro ≈Rc=2K ? (2分) (5)是饱和失真,应增大R b (1分)

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大模电期末考试题及答案

哈工大 2008 年 秋 季学期 模拟电子技术 试 题 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空(16分) 1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。 2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。 3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。 4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。 5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。 6、下列说法正确的画√,错误的画× (1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。 ( × ) (2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。 ( × ) (3)图1所示的文氏桥振荡电路中,对于频率为01 2f RC π=的信号,反馈信 号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。 ( × ) 第 1 页 (共 8 页) 班号 姓名 C C R R + + + +R R 3 4 o U ?f U ?t 图1

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

(完整版)哈工大模电习题册答案

【2-1】 填空: 1.本征半导体是 ,其载流子是 和 。两种载流子的浓度 。 2.在杂质半导体中,多数载流子的浓度主要取决于 ,而少数载流子的浓度则与 有很大关系。 3.漂移电流是 在 作用下形成的。 4.二极管的最主要特征是 ,与此有关的两个主要参数是 和 。 5.稳压管是利用了二极管的 特征,而制造的特殊二极管。它工作在 。描述稳压管的主要参数有四种,它们分别是 、 、 、和 。 6.某稳压管具有正的电压温度系数,那么当温度升高时,稳压管的稳压值将 。 1. 完全纯净的半导体,自由电子,空穴,相等。 2. 杂质浓度,温度。 3. 少数载流子,(内)电场力。 4. 单向导电性,正向导通压降U F 和反向饱和电流I S 。 5. 反向击穿特性曲线陡直,反向击穿区,稳定电压(U Z ),工作电流(I Emin ),最大管耗(P Zmax )和动态电阻(r Z ) 6. 增大; 【2-2】电路如图2.10.4所示,其中u i =20sinωt (mV),f =1kHz ,试求二极管VD 两端电压和通过它的电流。假设电容C 容量足够大。 - +- +C R +k 5ΩV 6i u VD +- D u D i a) ( 图2.10.4 题2-5电路图 1.静态分析 静态,是指u i =0,这时u i 视作短路,C 对直流视作开路,其等效电路如图1.4.2(a)所示。不妨设U D =0.6V 则 D D 6V (60.6)V 1.08mA 5k U I R --= ==Ω 对于静态分析,也可以根据二极管的伏安特性曲线,用图解法求解。 2.动态分析 对于交流信号,直流电源和电容C 视作短路;二极管因工作在静态工作点附近很小的范 围内,故可用动态电阻r d 等效,且D d D 1i r u ?=?,由此可得等效电路如图1.4.2(b)所示。 二极管伏安特性方程: )1e (T D /S D -=U u I i (1.4.1) 由于二极管两端电压U D ?U T =26 mV ,故式1.4.1可简化为: T D /S D e U u I i ≈ T D D D d d d 1U I u i r ≈=

电路基本理论课后答案(哈工大版)第10章

答案10.1 解:0t 时,求等效电阻的电路如图(b)所示。 等效电阻 Ω=++-==5)36(4i i i i i u R 时间常数 s 1.0i ==C R τ 0>t 后电路为零输入响应,故电容电压为: V e 6.0e )0()(10/t t C C u t u --+==τ

Ω6电阻电压为: V e 72.0)d d (66)(101t C t u C i t u -=-?Ω-=?Ω-=)0(>t 答案10.4 解:0t 后电路为零输入响应,故电感电流为 A e 3e )0()(2/t t L L i t i --+==τ)0(≥t 电感电压 V e 24d d )(21t L t i L t u --==)0(>t Ω3电阻电流为 A e 236321 33t L u i u i --=Ω +?Ω=Ω= Ω3电阻消耗的能量为: W 3]e 25.0[12123040 40 2 3 3=-==Ω=∞-∞ -∞Ω??t t dt e dt i W 答案10.5 解:由换路定律得0)0()0(==-+L L i i ,达到稳态时电感处于短路,故 A 54/20)(==∞L i 求等效电阻的电路如图(b)所示。 (b) 等效电阻 Ω==6.18//)4//4(i R 时间常数 s )16/1(/i ==R L τ 0>t 后电路为零状态响应,故电感电流为:

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

哈工大电路习题答案第08章

答案8.1 解: )/1()(T t A t f -= T t <<0 ??-== T T dt T t A T dt t f T A 000)/1(1)(1A T t t T A T 5.0]2[02=-= ?-=T k dt t k T t A T a 0 )cos()/1(2ω 0)sin(2)]sin()/1(2[0 20=+?-=?T T dt t k T k A t k Tk T t A ωωωω ?-=T k dt t k T t A T b 0 )sin()/1(2ω π ωωωωωk A kT A dt t k T k A t k Tk T t A T T ==-?--=?2)cos(2)]cos()/1(2[020 所以 ∑ ∞ =+=1 sin 5.0)(k t k k A A t f ωπ 频谱图如图(b)所示。 .0 答案8.2 解:电流i 的有效值 57.1)2/13.0()2/67.0()2/57.1(12222≈+++=I A 只有基波电流与正弦电压形成平均功率,故二端电路输入的平均功率为: 95.73)]90(90cos[2 57 .122.94=?--?-?= P W 注释:非正弦周期量分解成傅里叶级数后,其有效值等于直流分量和不同频 率交流分量有效值平方和的平方根。 答案8.3 解:对基波 ?∠=0100m(1)U V , A 010m(1) ?∠=I 由

Ω==-+=10)1(j ) 1(m ) 1(m ) 1(I U C L R Z ωω 求得 Ω=10R , 01 =-C L ωω (1) 对三次谐波 ?-∠=3050m(3)U V , A 755.1i m(3)ψ-∠=I 又由 Ω+?-∠==-+=)30(5.28)313(j m(3) m(3)) 3(i I U C L R Z ψωω (2) 所以 22 25.28)313(=- +C L R ωω (3) 将式(1)代入式(3), 解得 mH 9.31=L 将mH 9.31=L 代入式( 1 ),求得 F 3.318μ=C 再将C L R 、、 值代入式(2),有 Ω?-∠=Ω+=3028.5j26.7)10(i )3(ψZ 解得 ?=45.99i ψ 答案8.4 解: (1) 电压有效值: V 01.80)225()250()2100(222=++=U 电流有效值 58.74mA )2 10 ()220()280( 222=++=I (2) 平均功率 kW 42.345cos 2 10250cos 22050)45cos(280100=??+??+?-?=P

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1 图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5 图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8 求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10 求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14 求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3 图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大数电实验预考核

Read me: 1.用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项。 2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。 3.题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。 4.祝PRC 65周年生日快乐。 ·实验一组合数字电路基础实验 (开放时间:2014/10/8至2014/10/18) 试题2、本次实验芯片的供电电源电压为_A___。 A:+5V B:+12V C:±12V D:±5V 试题3、搭接本次组合数字电路实验时,应将芯片插在_D_。 A:单级放大电路子板 B:集成运算放大电路子板 C:面包板 D:EEL-69实验平台右侧芯片座 试题1、74LS00芯片的每个与非门为几输入与非门? A:1个输入 B:2个输入 C:3个输入 D:4个输入 bd 试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱?C A:12V、GND B:+5V、-5V C:+5V、GND D:-5V、GND 试题3、74LS151芯片是: D A:与非门 B:8选1数据选择器 C:4选1数据选择器

D:双4选1数据选择器 试题4、 C A:1) B:2) C:3) 试题1、74LS00芯片包含几个与非门? D A:1个与非门 B:2个与非门 C:3个与非门 D:4个与非门 试题5、74LS20芯片包含几个与非门? B A:1个与非门 B:2个与非门 C:3个与非门 D:4个与非门 试题3、C A:1) B:2) C:3) 试题3、组合数字电路的输出采用下面何种设备测试?D A:信号发生器 B:万用表 C:示波器 D:EEL-69实验平台发光二极管

电路理论基础A第五章(哈工大)答案

答案5.1 设负载线电流分别为A B C i i i 、、,由KCL 可得A B C 0I I I = ++。又A B C 10A I I I ===, 则A B C i i i 、、的相位彼此相差120?,符合电流对称条件,即线电流是对称的。 但相电流不一定对称。例如,若在三角形负载回路内存在环流0I (例如,按三角形联接的三相变压器),则负载相电流不再对称,因为 0CA CA 0BC BC 0AB AB ',','I I I I I I I I I +=+=+= 不满足对称条件。而该环流对线电流却无影响,因为每个线电流都是两个相电流之差(如图题7.3),即 BC CA BC CA C AB BC AB BC B CA AB CA AB A '','',''I I I I I I I I I I I I I I I -=-=-=-=-=-= A B C 图 题7.3 如已知负载对称,则相电流也是对称的,每相电流为77.53/10≈A 。 答案5.2 负载各相阻抗化为星形联接为 (8j6)'33Z Z -==Ω 设A 相电源相电压为2200∠ ,A 相负载线电流与电源相电流相等 AN A 220082.50A (8j6)Z 'j2 3l U I Z ∠? ===∠-Ω +Ω+ 由三角形联接得相电流与线电流关系得 A'B'47.6A I === 即负载相电流为47.6A 。 答案5.3 解:电路联接关系如图(a)所示。负载断开时电源的输出线电压等于图中相电压 倍。下面计算相电压A U 。

A I (b) I C (a)U 设负载A 相电压为AN 2200V U =∠? ,对于感性负载,由cos 0.8?=,得36.87?=-?,则 A 236.87A I =∠-? 采用单相分析法,如图(b)所示。 电源相电压为 A AN A i [2200236.87(2j4)]V U U I Z =+ =∠?+∠-??+ 2281V =∠? 当负载断开时,电源输出电压为 A 395V l U == 答案5.7 解:设电源为星形联接,电源A 相电压相量为 AN 2200V U ==∠? 则电源线电压分别为 AB 38030V U =∠? ,BC 38090V U =∠-? ,CA 380150V U =∠? 。 (1)设电路联接如图(a)所示,化为单相计算,如图(b)所示。 N ' N N ' U U (b) AN ' U BN BN I 因为负载为星形联接,所以负载相电压 AN'2200V U =∠? ,BN'220120V U =∠-? ,CN'220240V U =∠-? 又因为

哈工大数字电子技术基础习题册2010-答案6-7章

第6章 触发器 【6-1】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形如图6.1所示,试画出触发器Q 端和Q 端的波形。 R d S d Q Q 图 6.1 解: 基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见图6.1(b )所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。 R d S d Q Q 不定状态 图6.1(b ) 题6-1答案的波形图 【6-2】触发器电路如图 6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态为“0”。 Q d S d Q Q R (a) (b) 图6.2 解: 此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端的波形,见图6.2(c)。 d S d Q R 不定状态 图6.2(c) 【6-3】试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“0”。 “CP Y Z

CP 图 6.3 解: 见图6.3(b)所示,此电路可获得双相时钟。 Q Q CP Y Z 图6.3(b) 【6-4】分析图6.4所示电路,列出真值表,写出特性方程,说明其逻辑功能。 Q 图6.4 解: 1.真值表(CP =0时,保持;CP =1时,如下表) D n Q n Q n+1 0 0 0 0 1 0 1 0 1 1 1 1 2.特性方程Q n+1=D n 3.该电路为锁存器(时钟型D 触发器)。CP =0时,不接收 D 的数据;CP =1时,把数据锁存,但该电路有空翻。 【6-5】试画出在图6.5所示输入波形的作用下,上升和下降边沿JK 触发器的输出波形。设触发器的初态为“0”。 CP J K

哈工大电路答案第11章

答案11.1 解: (1) 2020 00 1 e 1e 1e e )()(- s s dt s s t dt t t s F st st st st = -=+ -==∞-∞-∞-∞-- - - ??ε (2) 2 0)(2 0)(00)(1e )(1e 1e e )(e )(-ααα αεααα+= +-=++ +- ==∞+-∞ +-∞-∞ --- - - ? ?s s dt s s t dt t t s F t s t s st st t 答案11.2 解: ) /1(//1)(1τττ+=+-= s s A s A s A s F 由拉氏变换的微分、线性和积分性质得: ) /1(/)()()/(]/)([)()]0()([)(22111112ττ +++= ++=++-=-s s A c bs as s F s c b as s s F c s bF f s sF a s F 答案11.3 解: 设25)}({)(11+==s t f s F L ,5 2)}({)(22+==s t f L s F 则 ) 5)(2(10 )()(21++= s s s F s F )(1t f 与)(2t f 的卷积为 ) e e (3 10 ]e 31[e 10e e 10e 2e 5)(*)(520350350)(5221t t t t t t t t d d t f t f --------=?==?=??ξξ ξξξξ 对上式取拉氏变换得: ) 5)(2(10)5121(310)}(*)({21++=+-+= s s s s t f t f L 由此验证 )()()}(*)({2121s F s F t f t f =L 。 答案11.4

哈工大2014年数电期末试题 答案资料

哈尔滨工业大学 2014 学年 秋 季学期 数字电子技术基础 试 题

8. 由TTL门组成的电路如图1-4所示,设逻辑门的输出U OH=3.6V,U OL=0.3V,电压表内阻 为20k /V。当输入ABC = 001,用万用表测出U1= ,U2= ;当输入ABC = 100,测得U1= ,U2= 。 图1-4 二、简答题:(8分) 1. 电路如图2-1(a)所示,设各触发器的初态为“0”。已知电路的输入波形如图2-1(b) 所示,试画出Q1、Q2端的波形。 CP1 2 Q0 CP0 1234567 Q1 Q2 CP1 8 (a) (b) 图2-1 2. 已知某时序逻辑电路的状态转换如图2-2(a)所示,设以Q3为最高位,Q1为最低位。将Q3Q2Q1连接到如图2-2(b)所示的ROM的地址输入端,请在ROM矩阵中实现特定的逻辑电路, 使得电路输出 07 ~ Y Y上获得顺序脉冲(在 07 ~ Y Y上依次产生一个低电平脉冲信号,每个低电平信号占一个时钟周期)。 Q3n Q2n Q1n0 W 1 W 2 W 3 W 4 W 5 W 6 W 7 W 地 址 译 码 器 Q3 时 序 逻 辑 电 路 CP Q2 Q1 BIN/OCT Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 B1 E3 E2 E1 B2 B0 "1" 74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 (a) (b) 图2-2

五、用下降沿触发的JK 触发器和门电路实现图5(a)所示的状态转换图,X 为输入信号,Z 为电路的输出信号。试求:(10分) 1. 说明当X =1时电路的逻辑功能; 2. 请画出该电路的次态卡诺图和输出函数Z 的卡诺图; 3. 写出电路的驱动方程和输出方程,并在图5(b)中画出电路图。 图5(a) 1J 1K C 1Q 1 FF 11J 1K C 1Q 0 FF 0 图5(b)

相关主题
文本预览
相关文档 最新文档