当前位置:文档之家› 基于FPGA的乒乓球游戏机设计.

基于FPGA的乒乓球游戏机设计.

基于FPGA的乒乓球游戏机设计.
基于FPGA的乒乓球游戏机设计.

摘要

在现代电子设计领域,微电子技术迅猛发展,无论是系统设计、电路设计,还是芯片设计,其设计的复杂度都在增加,传统的手工设计方法已经不能满足设计者的要求,急需新的设计工具来解决。EDA技术的迅速发展顺应了时代的发展,使得电子设计自动化技术有了新的、快的发展,其重要程度日益突出。本文设计了基于FPGA的,用Verilog HDL语言描述的乒乓球游戏机的设计。它由控制模块、数码管的片选信号模块、送数据模块和7段译码器模块组成,连接形成乒乓球游戏机的顶层电路。在QuartusⅡ软件上用Verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现乓乓球比赛的基本过程和规则,并能自动裁判和计分,达到设计的要求。

关键词:EDA ;FPGA ;Verilog HDL

Abstract

In the field of modern electronic design, the rapid development of microelectronic technology,whether the system design, circuit design and chip design, the design is more complex, the traditional manual design methods have been unable to meet the requirements of designers,in urgent need of new design tools to solve. The rapid development of EDA technology to the development of the times, the electronic design automation technology has been the development of new, fast, its importance is outstanding day by day. This paper is designed based on FPGA, the design of table tennis game is described with Verilog HDL language. It consists of control module, chip select signal module, digital tube to send data module and the 7 segment

decoder modules, the top-level circuit connected to form a table tennis game. In the Quartus software by Verilog HDL language for each module are described, and then the compiler, simulation in software, realize the basic process and the rules of table

tennis table tennis competition, and can automatically judge and score, to meet the design requirements.

Keywords: EDA; FPGA; Verilog HDL

第一章引言

1.1课题研究的背景

随着微电子技术的飞跃发展,无论是系统级设计、电路设计还是芯片设计,它的复杂程度都在不断的增加,而且它的发展速度也越来越快。这时,仅仅依靠传统的电子设计方法已经不能满足需求。EDA技术的兴起与发展给电子设计带来了革命性的变化,推动了微电子技术的迅猛发展,电子学进入一个崭新的时代。

1.2课题研究的目的

EDA技术是现代电子设计领域的一门技术,它提供了基于计算机和信息技术的电路设计方法,不依托其他设计工具,仅以计算机为工具,在EDA软件上完成设计、编译、仿真。EDA技术正以空前的发展速度和规模渗透到各行各业。

在这个快节奏生活的社会,人们外出的休闲娱乐活动越来少,而越来越多的电子游戏解决了这个问题,人们可以在家中玩各种休闲娱乐节目。所以设计了基于FPGA的两人乒乓球游戏机,让人们能在忙碌中有时间体验下体育节目的乐趣。FPGA器件具有高开发周期短,高集成度,现场可修改等特点,因此十分有必要对FPGA进行详细认真的研究。硬件描述语言VHDL功能性强,灵活性高,覆盖面广,用以甚高速集成电路硬件描述语言,具有很好的实用性。总的来说,现代EDA技术的基本特征是采用高级语言(VHDL、Verilog HDL等)描述,具有系统级仿真和综合的能力。它必将成为21世纪不可或缺的电子设计工具,必将影响这个世纪的发展。

2.1EDA基础

2.1.1EDA定义

EDA是Electronics Design Automation(电子设计自动化)的缩写,以计算机为工作平台;在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的定义发展而来的;是利用电子技术基础、计算机技术、智能化技术等多种应用技术而开发成的整套电子CAD软件;是一种帮助从事电子元件产品和系统设计的电子工作者的综合技术。

2.1.2EDA技术的发展历程

集成电路的飞快发展不断给EDA技术提出更高的要求,对EDA技术的发展起了巨大的推动作用。从20世纪60年代中期开始,人们不断地开发出各种计算机辅助设计工具来帮助设计人员进行集成电路和电子系统的设计。经历了计算机辅助设计、计算机辅助工程设计和电子系统设计自动化这3个阶段,如图2.1所示。

图2.1 EDA技术的发展历程

(1)CAD阶段

20世纪70年代,随着中、小规模集成电路的兴起和应用,传统的手工设计印刷电路板和集成电路的方法已经不能满足设计精度和效率的要求,于是电子设计工程师们开始在二维平面图形上进行计算机辅助设计,这样就产生了第一代

EDA工具,设计者从繁杂、机械的、手工布局和布线工作中解放了出来。

(2)CAE阶段

20世纪80年代以后,集成电路的规模越来越大,电子系统设计的复杂也在增加,电子设计自动化的工具逐步完善和发展起来,设计者们尤其在设计方法、设计工具集成化方面取得了很大的进步。为了适应电子产品在规模和制作上的需要,以计算机仿真和自动布线为核心的第二代EDA技术应运而生。其特点是以软件设计工具为核心,通过软件完成产品的开发、设计、分析、生产和测试等工作。

(3)ESDA阶段

20世纪90年代,设计师们逐步从使用硬件转向去设计硬件,从单个电子产品开发转向系统级电子产品开发(及片上系统集成)。因此,这时的EDA工具是以系统级设计为核心,包括结构综合与系统行为级描述,系统仿真与测试验证,系统决策与文件生成,系统划分与指标分配等一整套的电子系统设计工具。这时的EDA工具不仅具有电子系统设计的能力,而且具有独立于生产工艺和厂家的系统级设计能力。第三代EDA工具的出现,极大地提高了电子系统设计的效率,让设计工程师们开始能够以概念来驱动设计工程的梦想。

2.1.3EDA技术的发展趋势

随着微电子技术的不断发展与进步和市场需求的不断增长,EDA技术在21世纪后得到了迅猛的发展,这一发展趋势表现在以下几个方面:

(1)软件IP核在微电子的产业领域、设计应用领域和技术领域得到进一步的巩固与发展。

(2)使支持硬件描述语言仿真和设计的EDA软件不断地强大起来。

(3)EDA使得系统与器件、专用集成电路ASIC与FPGA、模拟与数字、行为与结构、软件与硬件等的界限越来越模糊。

(4)EDA技术保护了设计者的电子设计成果的知识产权。

(5)大规模的电子系统都是以EDA为工具设计的,正以飞快的速度渗透到IP核模块。

(6)更大规模的可编程逻辑器件正在推向市场,使得设计更为广泛与便捷。

2.1.4EDA技术的基本特征

总的来说,现代EDA技术是采用高级程序语言描述,具有系统级仿真和综合的能力。它主要采用并行工程和“自顶向下”的设计方法,使开发人员从一开始就能考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等。然后从系统设计开始,在顶层进行功能方框图的划分和结构的设计,在方框图一级进行仿真、纠错,并用VHDL、Verilog-HDL等硬件描述语言对顶层的系统进行功能和行为上的描述,在系统一级进行验证与仿真。最后,用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或者是专用的集成电路。近年来,硬件描述语言等设计数据格式逐步形成一种标准,不同的设计风格和应用要求使得各具特色的EDA工具被集成在相同的设计方法上,EDA技术的设计框架日趋标准化。

2.1.5EDA技术的基本工具

集成电路技术的不断发展对EDA技术提出了更高的要求,促进了EDA技术向更高的层次发展。但实际来说,EDA系统的设计能力一直难以满足集成电路的要求。EDA工具的发展经历了两个阶段,即物理工具阶段和逻辑工具阶段。

现在,人们已经开发了很多计算机辅助设计工具来帮助设计集成电路,常见的EDA工具有编辑器、仿真器、检查/分析工具和优化/综合工具等,如图2.2所示。

图2.2 EDA设计工具的分类

2.1.6EDA技术的基本设计思路

(1)EDA技术的电路级设计

电路级设计工作,首先,应该先确定合适的设计方案,然后选择方便实现该方案的元器件,接着就可根据所选元器件设计符合要求的电路原理图,再接着进行一次仿真。其目的是检验此设计方案在元件模型库支持下的功能方面是否正确,这样,设计工作就进入了轨道。第一次仿真通过后,就开始进行PCB的自动布局布线,此布局布线要根据原理图产生的电路连接网络表来进行。然后,对PCB进行分析,将分析结果反馈给电路图,并再次仿真。这样,PCB板在实际工作中的可行性就一目了然了。综上,EDA的电路级设计可在实际的电子系统产生之前就了解其功能特性,从而降低其设计风险,降低开发成本,缩短其开发周期,使得设计人员能够更好、更方便的设计。电路级设计工作流程如图2.3

图2.3 电路级设计工作流程图

(2)EDA技术的系统级设计

EDA技术的系统级设计方法是采用“自顶向下”的思路来设计的,让开发者一开始就可了解到产品的开发周期、生产成本等。设计者首先从系统方案入手,

进行顶层的划分和结构设计;然后,用VHDL语言等硬件描述语言对系统进行深刻描述;接着就用编辑器将其转换成标准的VHDL文件,再接着验证系统功能设计的正确性;再接着就用逻辑综合优化工具生成具体的门级电路的网络表;其后,进行时序仿真;最后,就到了系统的物理实现级,将其变成FPGA等。EDA技术的系统级设计如图2.4所示。

图2.4 EDA技术的系统级设计

2.1.7 EDA的设计流程

EDA的设计流程是“自顶向下”的设计思路。设计流程如图2.5所示。

图2.5 应用于FPGA/CPLD的EDA开发流程

2.2 FPGA的概念与特点

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。

系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA 的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。

FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。

早在1980年代中期,FPGA已经在PLD设备中扎根。CPLD和FPGA包括了一些相对大数量的可以编辑逻辑单元。CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。

CPLD和FPGA的主要区别是他们的系统结构。CPLD是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些相对少量的锁定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而FPGA却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。

CPLD和FPGA另外一个区别是大多数的FPGA含有高层次的内置模块(比如加法器和乘法器)和内置的记忆体。一个因此有关的重要区别是很多新的FPGA支持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些FPGA可以让设备的一部分重新编辑而其他部分继续正常运行。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA 的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

Verilog HDL语言简介

Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言(HDL:Hardware Description Language),均为IEEE标准,被广泛地应用于基于可编程逻辑器件的项目开发。二者都是在20世纪80年代中期开发出来的,前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发,后者由美国军方研发。

HDL语言以文本形式来描述数字系统硬件结构和行为,是一种用形式化方法来描述数字电路和系统的语言,可以从上层到下层来逐层描述自己的设计思想。即用一系列分层次的模块来表示复杂的数字系统,并逐层进行验证仿真,再把具体

的模块组合由综合工具转化成门级网表,接下去再利用布局布线工具把网表转化为具体电路结构的实现。目前,这种自顶向下的方法已被广泛使用。概括地讲,HDL语言包含以下主要特征:

Verilog HDL语言既包含一些高级程序设计语言的结构形式,同时也兼顾描述硬件线路连接的具体结构。

通过使用结构级行为描述,可以在不同的抽象层次描述设计。Verilog HDL语言采用自顶向下的数字电路设计方法,主要包括3个领域5个抽象层次。

Verilog HDL语言是并行处理的,具有同一时刻执行多任务的能力。这和一般高级设计语言(例如C语言等)串行执行的特征是不同的。

Verilog HDL语言具有时序的概念。一般的高级编程语言是没有时序概念的,但在硬件电路中从输入到输出总是有延时存在的,为了描述这一特征,需要引入时延的概念。HDL语言不仅可以描述硬件电路的功能,还可以描述电路的时序。

2.1.1 Verilog HDL语言的历史 1983年,Gateway Design Automation(GDA)硬件描述语言公司的Philip Moorby首创了Verilog HDL。后来Moorby成为Verilog HDL-XL的主要设计者和Cadence公司的第一合伙人。1984至1986年,Moorby设计出第一个关于Verilog HDL的仿真器,并提出了用于快速门级仿真的XL算法,使Verilog HDL语言得到迅速发展。1987年Synonsys公司开始使用Verilog HDL行为语言作为综合工具的输入。1989年Cadence公司收购了Gateway公司,Verilog HDL成为Cadence公司的私有财产。1990年初,Cadence 公司把Verilog HDL和Verilog HDL-XL分开,并公开发布了Verilog HDL。随后成立的OVI(Open Verilog HDL International)组织负责Verilog HDL的发展并制定有关标准,OVI由Verilog HDL的使用者和CAE供应商组成。1993年,几乎所有ASIC厂商都开始支持Verilog HDL,并且认为Verilog HDL-XL是最好的仿真器。同时,OVI推出2.0版本的Verilong HDL规范,IEEE则将OVI的Verilog HDL2.0作为IEEE标准的提案。1995年12月,IEEE制定了Verilog HDL的标准IEEE1364-1995。目前,最新的Verilog语言版本是2000年IEEE公布的Verilog 2001标准,其大幅度地提高了系统级和可综合性能。

Verilog HDL的主要能力

Verilog HDL既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互连的结构模块。这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。下面列出的是Verilog语言的主要功能:

1.可描述顺序执行或并行执行的程序结构;

2.用延迟表示式或事件表达式来明确地控制过程的启动时间;

3.通过命名的事件来触发其他过程里的激活行为或停止行为;

4.提供了条件和循环等程序结构;

5.提供了可带参数且非零延续时间的任务程序结构;

6.提供了可定义新的操作符的函数结构;

7.提供了用于建立表达式的算术运算符、逻辑运算符和位运算符;

8.提供了一套完整的表示组合逻辑基本元件的原语;

9.提供了双向通路和电阻器件的描述;

10.可建立MOS器件的电荷分享和衰减模型;

11.可以通过构造性语句精确地建立信号模型;

此外,Verilog HDL语言还有一个重要特征就是:和C语言风格有很多的相似之处,学习起来比较容易。

Verilog HDL和VHDL的区别

Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言。VHDL在1987年成为IEEE 标准,Verilog HDL则在1995年才成为IEEE标准,这是因为前者是美国军方组织开发的,而后者则是从民间公司转化而来,要成为国际标准就必须放弃专利。相比而言,Verilog HDL具有更强的生命力。

Verilog HDL和VHDL的相同点在于:都能形式化地抽象表示电路的行为和结构;支持逻辑设计中层次与范围的描述;可以简化电路行为的描述;具有电路仿真和验证机制;支持电路描述由高层到低层的综合转换;与实现工艺无关;便于管理和设计重用。

但Verilog HDL和VHDL又有各自的特点,由于Verilog HDL推出较早,因而拥有更广泛的客户群体、更丰富的资源。Verilog HDL还有一个优点就是容易掌握,如果具有C语言学习的基础,很快就能够掌握。而VHDL需要Ada编程语言基础,一般需要半年以上的专业培训才能够掌握。传统观点认为Verilog HDL在系统级抽象方面较弱,不太适合特大型的系统。但经过Verilog 2001标准的补充之后,系统级表述性能和可综合性能有了大幅度提高。当然,这两种语言也仍处于不断完善的过程中,都在朝着更高级描述语言的方向前进。

Verilog HDL设计方法

1. 自下而上的设计方法

自下而上的设计是传统的设计方法,是从基本单元出发,对设计进行逐层划分的过程。这种设计方法与用电子元件在模拟实现板上建立一个系统的步骤有密切的关系。优、缺点分别如下:

优点:

设计人员对这种设计方法比较熟悉;实现各个子模块所需的时间较短。

缺点:

对系统的整体功能把握不足;由于必须先对多个子模块进行设计,因此实现整个系统的功能所需的时间长;另外,对设计人员之间相互协作也有较高的要求。 2.自上而下的设计方法

自上而下的设计是从系统级开始,把系统划分为基本单元,然后再把基本单元划分为下一层次的基本单元,直到可用EDA元件实现为止。这种方法的优、缺点如下。

优点:

在设计周期开始就做好了系统分析;由于设计的主要仿真和调试过程是在高层完成的,所以能够早期发现结构设计上的错误,避免了设计工作的浪费,方便了系统的划分和整个项目的管理,可减少设计人员劳动,避免了重复设计。

缺点:

得到的最小单元不标准,且制造成本高。

3.混合的设计方法

复杂数字逻辑电路和系统设计过程,通常是以上两种设计方法的结合。设计时需要考虑多个目标的综合平衡。在高层系统用自上而下的设计方法实现,而使用自下而上的方法从库元件或以往设计库中调用已有的设计单元。混合设计方法兼有以上两种方法的优点,并且可使用先进的矢量测试方法。

第二章设计思想

1.基本原理

用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。根据乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数大于21时获胜,游戏结束,我们把设计流程规定如图1.1所示。状态机设置了7个状态,分别是“等待发球状态”,“第一盏灯亮状态”,“第八盏灯亮状态”,“球向乙移动状态”,“球向甲移动状态”,“允许甲击球状态”,“允许乙击球状态”。这是该程序中起决定作用的七个状态。开始的时候处于“等待发球状态”,若甲发球则状态转移到“第一盏灯亮状态”,若乙发球则转移到“第八盏灯亮状态”,具体说明以甲球为例。

若发球后乙没有提前击球——规定球移动到对方第一个发光二极管时允许击球,那么状态机从“第一盏灯亮状态”转移到“球向乙移动状态”。若在“球向乙移动状态”乙仍然没有提前击球,状态就转移到“允许乙击球状态”,在此状态下,如果乙击球了,那么状态就转移到“球向甲移动状态”。在“第一盏灯

亮状态”,“球向乙移动状态”中,如果乙击球了,就算提前击球,这样甲得分,状态转移到“等待发球状态”等待发球,“球向甲移动状态”之后的过程和前面的过程只不过是甲乙角色的调换而已。

2.设计框图

图1流程图

图2 基本原理

第三章设计步骤和调试过程

1、模块设计和相应模块代码

(1)发球选择模块的设计

游戏开始时,必须先决定发球权在哪一方。同时,在游戏进行的过程中,必须能够正确交换甲乙双方发球权。相应的代码如下:

module Permissions(clk,res,in1,in2,out1,out2,en_jia,en_yi);

input clk,res,in1,in2;

output out1,out2,en_jia,en_yi;

reg out1,out2,en_jia,en_yi;

wire w1,w2,a,b;

or u2(w1,in1,in2);

add4 u1(.res(res),.c(w1),.cnt(w2));

select u3(.cnt(w2),.a(a),.b(b));

parameter[1:0] s0=1'b00,s1=1'b01;

reg[1:0] current_state,next_current;

always@(posedge clk or negedge res)

begin

if(!res)

current_state<=s0; //复位

else

current_state<=next_current;

end

always@(current_state or next_current)

begin

case(current_state)

s0:

begin

out1<=1;

en_jia<=1;

en_yi<=0;

out2<=0;

if(a==1)

next_current<=s1;

else

next_current<=s0;

end

s1:

begin

out1<=0;

en_jia<=0;

en_yi<=1;

out2<=1;

if(b==1)

next_current<=s0;

else

next_current<=s1;

end

endcase

end

endmodule

(2)状态机编程实现

状态机设置了7个状态,它们代表的具体数值依次是0到6。在波形模拟图中是用数值来表示状态的。

在整个程序中,状态机起的是中央控制器的作用,由它控制的信号来影响整个程序中的其他相关部分,如记分部分,发光二极管部分。乒乓球游戏机中有两个计数器,分别记忆甲和乙的得分,用发光二极管的轮流发光表示球的移动轨迹。状态机的进程如下:

module state_machine (clk,res,key1,key2,led,jia,yi,en_jia,

en_yi,win,t1,t2);

input clk,res,key1,key2,en_jia,en_yi,win,t1;

output jia,yi,t2;

reg jia,yi,t2;

output[7:0] led;

reg[7:0] led;

parameter[7:0]

s0=8'b0000_0001,s1=8'b0000_0010,s2=8'b0000_0100,s3=8'b0000_1000,

s4=8'b0001_0000,s5=8'b0010_0000,s6=8'b0100_0000,s7=8'b1000_0000;

reg[7:0] current_state,next_current;

/*--------------------每个时钟沿转跳一次逻辑状态

--------------------*/

always@(posedge clk or negedge res)

begin

if(~res)

current_state<=s0;

else

current_state<=next_current;

end

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

乒乓球游戏电路课程设计最终完成版

电子课程设计 ——基于Verilog的乒乓游戏设计电路 学院: 专业、班级: 姓名: 学号: 指导教师: 2014年12月

引言 可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。可编程器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样快捷方便。高速发展的FPGA、CPLD兼有串、并行工作方式和高速、高可靠性的特点,在电子系统设计中得到了广泛应用。 通常使用硬件描述语言 (Hardware Description Language,HDL)进行数字电子系统设计。目前应用广泛的硬件描述语言有:VHDL语言,Verilog HDL语言,AHDL语言。Verilog语言由于具有强大的行为描述能力和丰富的仿真语句从而成为系统设计领域最佳的硬件描述语言。 鉴于如上所述,本系统使用Verilog语言进行设计,采用自上向下的设计方法。利用Quartus II 9.1 进行Verilog 程序的编译与综合,然后用Modelism SE 6.0进行功能仿真和时序仿真,并使用EDA实验箱进行下载验证。

基于Verilog的乒乓游戏设计电路 一、设计任务与要求 任务: 设计一个乒乓球游戏机,模拟乒乓球比赛的基本过程和规则,并能裁判和自动计分。 要求如下: 1.使用乒乓球游戏机的甲乙双方在不同的位置罚球或击 球。 2.乒乓球的位置和移动方向可由发光二极管和依次点亮的 方向决定,为球的移动速度为一定值(我们设计中设为0.5秒移动一位)。使用者可按乒乓球的位置发出相应的动作,在其他时候击球视为犯规,给对方加一分;都犯规双方各加一分。二、总体框图 设计思路 根据乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数大于11时获胜,游戏结束,系统设计流程图如图1所示。

数字电子技术课程设计报告 电子拔河游戏机

数字电子技术课程设计报告 班级:信息0611 ;姓名:何海强;学号40550311 设计题目:电子拔河游戏机 同组成员:史立光、田劲、王萌、路长发;组长:何海强 功能描述: 1、整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电 路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。用键盘上的A键和Z键表示开关按钮。 用键盘上的S键赖代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。 2、比赛开始,由裁判下达比赛命令后(,用空格键代表裁判信号,摁一下空格键),甲乙 双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。裁判信号由键盘空格键来控制。 3、“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于 “电子绳”中点的LED发亮。甲乙双方通过按键输入信号,用键盘上的数字键A键Z 键来模拟,摁一下A向左移动,摁一下Z键向右移动。使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。 4、记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加 分。 5、双方得分计数器的清零信号由键盘上的数字键2,3键来实现。当比赛结束时,计分器清零,为下一次比赛做好准备。 方案设计: 1.总体设计思路(含电路原理框图): 电路的原理框图如下图所示:

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

电子拔河游戏机设计全文

电子拔河游戏机设计全文 设计题目:拔河游戏机 专业班级:运算机科学与技术08-2班学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步把握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容确实是采纳74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、操纵、复位等功能,设计原理简单易明白,所设计的游戏机的游戏规 则和确实拔河竞赛规则相类似。 名目 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数运算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15) 六.参考文献 (16)

一.设计任务与要求 设计思路 给定实验设备和要紧元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,现在双方按键均无作用,输出保持,只有经复位后才使亮点复原到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏竞赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.竞赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.依照设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的要紧任务是操纵“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作要紧器件,用计数器的输出状态通过译码器操纵LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局竞赛终止,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.操纵电路部分应能够操纵由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,现在双方按键均无作用,输出保持,只有经复位后才使亮点复原到中心线。最后,显示器显示胜者的盘数。

EDA课程设计拔河游戏机

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目拔河游戏机 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时,则该方获胜,连续比赛多局以定胜负。 基本要求: 1、设计一个能进行拔河游戏的电路。 2、电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、用数码管显示获胜者的盘数。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 拔河游戏机是通过控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动,按键较快的一方获胜。此次课程设计主要用到加/减计数器,通过其输出状态再通过译码器控制LED发亮。加/减计数器,输入“加脉冲”,加运算亮,亮点向右移;输入“减脉冲”,减运算亮,亮点向左移。 拔河游戏机由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。当亮点达到任一方的终点时,该终点发出电路封锁加/减脉冲信号,实现电路自锁,使加/减脉冲立即无效,同时实现电路自动加分。 双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。 2.设计框图 甲乙上方比赛按键通过频率计数器指示,根据中心LED比较,当一方取胜时,相应计数器计数,通过数码管显示取胜次数。 图1 设计流程图

基于某Verilog地乒乓球游戏电路设计

大学EDA课程设计 : 学号: 班级: 专业: 学院:

目录 0 引言............................................................................................................................. - 2 - 1 设计要求..................................................................................................................... - 2 - 2 设计思路..................................................................................................................... - 2 - 3 Verilog程序 ................................................................................................................ - 3 - 4 功能仿真..................................................................................................................... - 9 - 5 总结........................................................................................................................... - 11 - 致...............................................................................................................................- 11 - 参考文献.......................................................................................................................- 11 -

相关主题
文本预览
相关文档 最新文档