当前位置:文档之家› 计算机组成原理

计算机组成原理

计算机组成原理
计算机组成原理

计算机组成原理

课程设计说明书

设计一台嵌入式CISC模型计算机

学院:计算机科学与工程学院

专业:计算机技术

学号:1403303003

姓名:丁志均

指导老师:陈智勇老师

2015年4月6日

目录

绪论 ............................................................................................................................................. - 2 - 1、需求分析................................................................................................................................ - 3 -

1.1设计要求........................................................................................................................ - 3 -

1.2课程设计完成的内容................................................................................................... - 3 -

2、构图思想................................................................................................................................ - 4 -

2.1 完成系统的总体设计................................................................................................... - 4 -

2.1.1 CISC模型计算机数据通路框图..................................................................... - 4 -

2.1.2 操作控制器的逻辑框图.................................................................................. - 5 -

2.2 模型机的指令系统和所有指令的格式...................................................................... - 6 -

2.2.1汇编指令........................................................................................................... - 6 -

2.2.2 指令系统.......................................................................................................... - 7 -

2.2.3 汇编指令转换机器代码................................................................................ - 10 -

2.3指令............................................................................................................................. - 11 -

2.3.1 微程序流程图................................................................................................ - 11 -

2.3.2 微指令设置.................................................................................................... - 12 -

2.3.3设计地址转移逻辑电路................................................................................... - 13 -

2.3.4设计时序产生器电路..................................................................................... - 13 -

3、器件的生成.......................................................................................................................... - 14 -

3.1 ADDR 地址寄存器...................................................................................................... - 14 -

3.2 MMM.............................................................................................................................. - 16 -

3.3 CONTROM 控制寄存器................................................................................................ - 17 -

3.4 MCOMMAND 微命令寄存器.......................................................................................... - 18 -

3.5 F1 F2 F3.................................................................................................................... - 20 -

3.6 ALU算数逻辑单元..................................................................................................... - 23 -

3.7 LS273 状态条件寄存器............................................................................................ - 25 -

3.9 FEN2............................................................................................................................ - 25 -

3.10 MUX

4.......................................................................................................................... - 26 -

3.11 MUX5 5选1数据选择器......................................................................................... - 27 -

3.12 PC 程序计数器........................................................................................................ - 28 -

3.13 ROM16 ROM芯片....................................................................................................... - 29 -

3.14 COUNTER时序产生器............................................................................................... - 30 -

3.15 CONVERT.................................................................................................................... - 31 -

3.16 PSW............................................................................................................................ - 32 -

3.16 DECODER.................................................................................................................... - 32 -

3.15 TOP图....................................................................................................................... - 33 -

4、测试仿真图.......................................................................................................................... - 34 -

4.1仿真测试..................................................................................................................... - 34 -

4.2最后的结果................................................................................................................. - 40 -

4.3差错分析..................................................................................................................... - 41 -

5、心得体会.............................................................................................................................. - 41 - 参考资料.................................................................................................................................... - 42 -

绪论

电子计算机的传统分类方法,是按照体系结构、运算速度、系统规模和适用领域分为大型、中型、小型和微型。这种计算机分类方法沿袭了约40多年。近些年来,随着半导体、微电子和计算机等技术的快速发展及广泛应用,现代计算机面向应用出现了两大分支:实现智能化控制的嵌入式计算机系统,以及进行高速、海量数值计算的通用计算机系统,并据此将计算机分为嵌入式计算机和通用计算机两大类。二者的技术发展方向不同:前者注重与对象系统密切相关的嵌入性能、控制能力及可靠性;而后者注重运算速度的提升和存储容量的扩大。嵌入式计算机是嵌入到对象体系(即宿主系统)中的专用计算机。嵌入式计算机在功能和物理结构上都嵌入在系统中,不独立于系统运行,是系统的组成部分。一个应用系统按需要可嵌入一台或多台计算机。因此,成功的设计一台嵌入式CISC 模型计算机是非常重要的。

1.需求分析

1.1设计要求

设计一台嵌入式CISC模型计算机,采用定长CPU周期、联合控制方式,并运行能完成一定功能的机器语言源程序进行验证,机器语言源程序功能如下:C类:

输入1个无符号整数(8位二进制补码表示),求0到这个数之间所有奇数的平方和并输出显示。

说明:

1)1个无符号数从外部输入;

2)一定要使用符号标志位(比如说SF),并且要使用为负的时候转移(比如JS)或不为负的时候转移(比如JNS)指令;

3)采用单数据总线结构的运算器。

1.2课程设计完成的内容

1.完成系统的总体设计,画出模型机数据通路框图;

2.设计微程序控制器(CISC模型计算机)的逻辑结构框图;

3.设计机器指令格式和指令系统;

4.设计时序产生器电路;

5.设计所有机器指令的微程序流程图;

6.设计操作控制器单元;

在CISC模型计算机中,设计的内容包括微指令格式(建议采用全水平型微指令)、微指令代码表(根据微程序流程图和微指令格式来设计)和微程序控制器硬件电路(包括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器等。具体电路根据微程序控制器的逻辑结构框图、微指令格式和微指令代码来设计)。

7.设计模型机的所有单元电路,并用VHDL语言(也可使用GDF文件----图形描述文件)对模型机中的各个部件进行编程,并使之成为一个统一的整体,即形成顶层电路或顶层文件;

8.由给出的题目和设计的指令系统编写相应的汇编语言源程序;

9.根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机中的ROM中去;

10.使用EDA软件进行功能仿真,要保证其结果满足题目的要求;(其中要利用EDA软件提供的波形编辑器,选择合适的输入输出信号及中间信号进行调试。)

2、构图思想

2.1 完成系统的总体设计

2.1.1 CISC模型计算机数据通路框图

图2.2.1

2.1.2 操作控制器的逻辑框图

指令寄存器IR

图2.1.2微程序控制器组成框图

2.2 模型机的指令系统和所有指令的格式

2.2.1汇编指令

部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能,这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

本课设采用9条共计9类机器指令:IN1(输入)、MOV(将一个数送入寄存器)、CMP(比较)、JB(小于跳转)、ADD(两数相加)、INC(自增1)、JMP(无条件跳转)、 OUT1(输出)、MUX(两个数相乘)。

汇编指令如下

指令功能

IN1 R0 从开关输入任意一个整数n->R0

MOV R1,1 将立即数1->R1(R1用于存放参与运算的奇数) MOV R2,0 将立即数0->R2(R2用于存放累加和)

L1: CMP R0,R1 将R0的整数n与R1的奇数比较,锁存CY和ZI JB L2 小于,则转到L2处执行

MOV R3,0 否则,将立即数0->R3(R3用于存放累乘)

ADD R1,R3 将R1值传给R3

MUX R1,R3 累乘;并将结果存入R3

ADD R3, R2 累加求和;并将R1的内容加2,形成下一个奇数 INC R1

INC R1

JMP L1 跳转到L1处继续执行

L2: OUT1 R2 输出累加和

JMP L2 循环显示

2.2.2 指令系统

本课设采用9条共计9类机器指令:IN1(输入)、MOV(将一个数送入寄存器)、CMP(比较)、JB(小于跳转)、ADD(两数相加)、INC(自增1)、JMP(无条件跳转)、 OUT1(输出)、MUX(两个数相乘)。

说明:Rs 指源寄存器,Rd指目的寄存器

1)IN指令

输入(IN1)指令采用单字节指令,其格式如下:

2)MOV指令

MOV指令采用双字节指令,其格式如下:

3)CMP指令、ADD指令、MUX指令

比较指令(CMP)和相加指令(ADD)采用单字节指令,

其格式如下:

4)JB指令和JMP指令

条件转移指令(JB)和无条件转移指令(JMP)采用双字节指令,其格式如下:

5)INC指令

自增1指令(INC)采用单字节指令,其格式如下:

6)OUT1指令

7)模型计算机规定数据的表示采用定点整数补码表示,单字长8位,其格式如下:

表2-1 9条基本指令的辅助记号、指令格式和功能

8)其中对Rs和Rd的规定

2.2.3 汇编指令转换机器代码

根据设计要求,编出如下机器代码

机器语言源程序:

表 3-1 汇编语言源程序对应的机器语言源程序

2.3指令

2.3.1 微程序流程图

所设计的CISC模型机的所有机器指令的微程序流程图如图3所示。图中每个方框为一个CPU周期(包含T1~T4共4个节拍脉冲周期),对应于一条微指令。框中上面的十六进制数表示的是当前微指令在控制存储器中微地址,框中下面的十六进制数表示的是当前微指令的后继微地址(或称直接地址)。在编写微指令时,图中的菱形框从属于它上面的方框。

图2.3.1微程序流程图

注:以结尾的后继微地址都是00

(1)根据指令格式和指令系统的设计完成所有机器指令的微程序流程图,并确定每条微指令的微地址和后继微地址;

(2)设计微指令格式和代码表

(3)设计地址转移逻辑电路

(4)设计微程序控制的其他逻辑电路,包括微地址寄存器、为命令寄存器、控制存取器

2.3.2 微指令设置

指令流程图设计完成后,开始设计微指令的格式和微指令的代码表按照要求,CISC模型计算机的系统使用采用双水平型微指令,字长为25位,其中微命令字段为17位,p字段为2位,后继微地址6位,由微指令格式和微程序流程图编写的微指令代码表如下所示,在微指令的代码表中微命令字段从左边到右代表的微命令信号依次为:LOAD、LDPC、LDAR、LDIR、LDRi、RD_B、RS_B、S1、S0、ALU_B、LDAC、LDDR、WR、CS、SW_B、LED_B、LDFR,P1、P2

2.3.3设计地址转移逻辑电路

设计好了微指令代码表之后,我们可以开始设计地址转移逻辑电路

地址转移逻辑电路是根据微程序流程图中的棱形框部分及多个分支微地址,利用微地址寄存器的异步置“1”端,实现微地址的多路转移。

由于微地址寄存器中的触发器异步置“1”端低电平有效,与μA4~μA0对应的异步置“1”控制信号SE5~SE1的逻辑表达式为:(μA5的异步置“1”端SE6实际未使用)

SE5=(FC+FZ)·P(2)·T4 SE4=I7·P(1)·T4 SE3=I6·P(1)·T4 SE2=I5·P(1)·T4

SE1=I4·P(1)·T4

需要注意的是:地址转移逻辑电路中异步置“1”信号SE5~SE1表达式的确定与P 字段测试时转移微地址的确定密切相关.

2.3.4设计时序产生器电路

T1、T2、T3、T4与CLR 、Q 之间的关系图

图3.2.4

Q CLR T1

T2 T3 T4

3、器件的生成

3.1 ADDR 地址寄存器

图3.1-1

地址转移逻辑电路的实现代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY ADDR IS

PORT(

I7,I6,I5,I4:IN STD_LOGIC;

CF,ZF,T4,P1,P2:IN STD_LOGIC;

SE5,SE4,SE3,SE2,SE1,SE0:OUT STD_LOGIC

);

END ADDR;

ARCHITECTURE A OF ADDR IS

BEGIN

PROCESS

BEGIN

--P2

SE5<=NOT((CF AND NOT ZF)AND P2 AND T4);

--P1

SE4<='1';

SE3<=NOT(I7 AND P1 AND T4);

SE2<=NOT(I6 AND P1 AND T4);

SE1<=NOT(I5 AND P1 AND T4);

SE0<=NOT(I4 AND P1 AND T4);

END PROCESS;

END A;

编译通过之后生成图形符号,地址转移逻辑电路就完成了。接下来继续生成微控制器里面的其他器件和电路:我们先看看微地址寄存器aa的设计,它的内部电路图如下所示:

图3.1-2 AA电路图生成

3.2 MMM

图3.2

可以看得出,AA中带有异步清“0”和异步置“1”功能的触发器MMM,它的实现代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MMM IS

PORT(

SE,CLK,D,CLR:IN STD_LOGIC;

UA:OUT STD_LOGIC

);

END MMM;

ARCHITECTURE A OF MMM IS

BEGIN

PROCESS(CLR,SE,CLK)

BEGIN

IF(CLR='0')THEN

UA<='0';

ELSIF(SE='0')THEN

UA<='1';

ELSIF(CLK'EVENT AND CLK='1')THEN

UA<=D;

END IF;

END PROCESS;

END A;

编译成功后生成MMM元器件。

3.3 CONTROM 控制寄存器

图3.3

接下来该做的是控制存储器CONTROM,实现它的VHDL源程序如下所示:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CONTROM IS

PORT(

ADDR:IN STD_LOGIC_VECTOR(5 DOWNTO 0);

UA:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);

D:OUT STD_LOGIC_VECTOR(17 DOWNTO 0)

);

END CONTROM;

ARCHITECTURE A OF CONTROM IS

SIGNAL DATAOUT:STD_LOGIC_VECTOR(23 DOWNTO 0);

BEGIN

PROCESS(ADDR)

BEGIN

CASE ADDR IS

WHEN "000000"=>DATAOUT<="111001100100111000000001";

WHEN "000001"=>DATAOUT<="100101100100011010010000";

WHEN "000010"=>DATAOUT<="100011100100011000000000";

WHEN "000011"=>DATAOUT<="100000100101111000000100";

WHEN "000100"=>DATAOUT<="100001101100111100000000";

WHEN "000101"=>DATAOUT<="100000100101111000000110";

WHEN "000110"=>DATAOUT<="100011100000111000000000";

WHEN "000111"=>DATAOUT<="100011110000111000000000";

WHEN "001000"=>DATAOUT<="010001100100011000000000"; WHEN "001001"=>DATAOUT<="100000100101111000001010"; WHEN "001010"=>DATAOUT<="100011111000111000000000";

WHEN "010000"=>DATAOUT<="100011100100101000000000";

WHEN "010001"=>DATAOUT<="111001100100111000000010";

WHEN "010010"=>DATAOUT<="100001000110111000000011";

WHEN "010011"=>DATAOUT<="111001100100111001000000";

WHEN "010100"=>DATAOUT<="100001000110111000000101";

WHEN "010101"=>DATAOUT<="100000100110111000000111";

WHEN "010110"=>DATAOUT<="111001100100111000001000";

WHEN "010111"=>DATAOUT<="100001000100110000000000";

WHEN "011000"=>DATAOUT<="100001000110111000001001";

WHEN "100000"=>DATAOUT<="010001100100011000000000";

WHEN OTHERS => DATAOUT<="100001100100111000000000";

END CASE;

UA(5 DOWNTO 0)<=DATAOUT(5 DOWNTO 0);

D(17 DOWNTO 0)<=DATAOUT(23 DOWNTO 6);

END PROCESS;

END A;

3.4 MCOMMAND 微命令寄存器

图3.4

程序中的25位控制信号就是按照上面确定的微指令代码表确定的。编译通过后生成了控制存储器,接下来该生成微命令寄存器MCOMMAND,同样也是通过VHDL来描述即可,它的实现代码如下所示:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY MCOMMAND IS

PORT(

T2,T3,T4:IN STD_LOGIC;

O:IN STD_LOGIC_VECTOR(17 DOWNTO 0);

P1,P2,LOAD,LDPC,LDAR,LDIR,LDRI,RD_B,RS_B,S1,S0,ALU_B, LDAC,LDDR,CS_I,SW_B,LED_B,LDPSW:OUT STD_LOGIC

);

END MCOMMAND;

ARCHITECTURE A OF MCOMMAND IS

SIGNAL DATAOUT:STD_LOGIC_VECTOR(17 DOWNTO 0);

BEGIN

PROCESS(T2)

BEGIN

IF(T2'EVENT AND T2='1')THEN

DATAOUT<=O(17 DOWNTO 0);

END IF;

P2<=DATAOUT(0);

P1<=DATAOUT(1);

LDPSW<=DATAOUT(2) AND T4;

LED_B<=DATAOUT(3);

SW_B<=DATAOUT(4);

CS_I<=DATAOUT(5);

LDDR<=DATAOUT(6) AND T4;

LDAC<=DATAOUT(7) AND T4;

ALU_B<=DATAOUT(8);

S0<=DATAOUT(9);

S1<=DATAOUT(10);

RS_B<=DATAOUT(11);

RD_B<=DATAOUT(12);

LDRI<=DATAOUT(13) AND T4;

LDIR<=DATAOUT(14) AND T3;

LDAR<=DATAOUT(15) AND T3;

LDPC<=DATAOUT(16) AND T4;

LOAD<=DATAOUT(17);

--RD_D<=DATAOUT(18);

--CS_D<=DATAOUT(19) OR NOT T3;

--PC_B<=DATAOUT(20);

--RAM_B<=DATAOUT(21);

END PROCESS;

END A;

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理2

计算机组成原理 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。 1.[X]补=1.000……0,它代表的真值是( )。 A.-0 B.-1 C.+1 2.16. CPU响应中断的时间是( )。 A.一条指令结束; B.外设提出中断; C.取指周期结束。 3.存储字是指( )。 A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码个数 C.存储单元的集合。 4.下列说法中( )是正确的 A.指令周期等于机器周期; B.指令周期大于机器周期; C.指令周期是机器周期的两倍 5.计算机只懂机器语言,而人类熟悉高级语言,故人机通信必须借助( )。, A.编译程序; B.编辑程序; C.连接程序; D.载入程序。 6.补码10110110代表的是十进制负数( )。 A.-74

B.-54 C.-68 D.-48 7.设寄存器位数为8位,机器数采用补码形式(含一位符号位)。对应于十进制数-27,寄 器内容为( )。 A.27H B.9DH C.E5H。 8.堆栈指针SP的内容是( )。 A.栈顶单元内容 B.栈顶单元地址 C.栈底单元内容 D.栈底单元地址 9.单地址指令( )。 A.只能对单操作数进行加工处理 B.只能对双操作数进行加工处理 C.无处理双操作数的功能 D.既能对单操作数进行加工处理,也能在隐含约定另一操作数(或地址)时,对双操 作数进行运算 10.电子计算机的算术/逻辑单元、控制单元及存储器合称为( )。 A.CPU B.ALU C.主机; D.UP 11.挂接在总线上的多个部件()。 A.只能分时向总线发送数据,并只能分时从总线接收数据 B.只能分时向总线发送数据,但可同时从总线接收数据 C.可同时向总线发送数据,并同时从总线接收数据

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理课后复习资料白中英主编第五版立体化教材

计算机组成原理第五版习题答案计算机组成原理第五版习题答案 第一章 (1) 第二章 (3) 第三章 (14) 第四章 (19) 第五章 (21) 第六章 (27) 第七章 (31) 第八章 (34) 第九章 (36)

1

计算机组成原理第五版习题答案第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0 和 1 表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:采用存储程序的方式,编制好的程序和数据存放在同一存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均以二进制码表示,指令在存储器中按执行顺序存放。主要组成部分有::运算器、逻辑器、存储器、输入设备和输出设备。 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.计算机硬件可直接执行的每一个基本的算术运算或逻辑运算操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。 11.第一级是微程序设计级,这是一个实在的硬件级,它由机器硬件直接执行微指令; 第二级是一般机器级,也称为机器语言级,它由程序解释机器指令系统;第三级是操作系统级,它由操作系统实现;第四级是汇编语言级,它给程序人员提供一种符号形式语言,以减少程序编写的复杂性;第五级是高级语言级,它是面向用户的,为方便用户编写应用程序而设置的。用一系列的级来组成计算机的接口对于掌握计算机是如何组成的提供了一种好的结构和体制,而且用这种分级的观点来设计计算机对保证产生一个良好的系统结构也是很有帮助的。

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

计算机组成原理试题2

一、填空题 1.按IEEE754规范,一个浮点数由、、三 个域组成,其中的值等于指数的加上一个固定。 2.在进行浮点加法运算时,需要完成为、、、、 和等步骤。 3.对阶时,使阶向阶看齐,使阶的尾数向移位, 每移一位,其阶码加一,直到两数的阶码相等为止。 4.提高加法器运算速度的关键是。先行进位的含义是。 5.现代计算机的运算器一般通过总线结构来组织。按其总线数不同,大体有、 和三种形式。 6.浮点运算器由和组成,它们都是运算器。只要求能 执行运算,而要求能进行运算。 7.两个BCD码相加,当结果大于9时,修正的方法是将结果,并产生进位输出。 8.设有七位二进制信息码0110101,则低位增设偶校验码后的代码为。 二、单项选择题 1.某数在计算机中用8421BCD码表示为0111 1000 1001,其真值是 A.789D B.789H C.1887D D.11110001001B 2.若某数x的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法 是码 A.原B.补C.反D.移 3.一个8位二进制整数,采用补码表示,且由3个“1”和5个“0”组成,则其最小 值是 A.-127 B.-32 C.-125 D.-3 4.下列数中最小的数为 A.101001B B.52Q C.29D D.233H 三、简答题 1.说明定点运算器的主要组成 2.说明双符号位法检测溢出的方法 四、计算与分析题 1.将十进制数(24/512)表示成浮点规格化数,要求阶码4位(含符号),移码表示; 尾数6位(含符号),用补码表示 2.写出十进制数-5的IEEE754编码 3.教材P69-5.1:已知x和y,用变形补码计算x+y,同时指出结果是否溢出 1)X=0.11011,y=0.00011 4.教材P70-7.1:试用原码阵列乘法器、补码阵列乘法器、直接补码并行乘法计算x ×y 1)X=0.11011,y=-0.11111 5.教材P70-8.1:用原码阵列除法器计算x÷y 1)X=0.11000,y=-0.11111 6.教材P70-9.1:设阶码3位,尾数6位,按浮点运算方法,完成以下取值的[x+y]、 [x-y]运算 1)X=2-011×0.100101,y=2-010×(-0.011110) 一、填空题 1.符号位S,阶码E,尾数M,阶码E,真值e,偏移值 2.零操作数检查,对阶,尾数求和,结果规格化,舍入处理,溢出处理 3.小,大,小,右,右 4.降低进位信号的传播时间,低有效位的进位信号可以直接向最高位传递 5.单总线结构,双总线结构,三总线结构

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第二版课后习题答案全唐朔飞

计算机组成原理第二版课后习题答案全唐朔飞第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。计算机硬件:指计算机中的电子线路和物理装置。计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么?解:冯?诺依曼计算机的特点是:P8 ? ? ? ? ? ? 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成;指令和数据以同同等地位存放于存储器内,并可以按地址访问;指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行;机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、

存储字、存储字长、存储容量、机器字长、指令字长。解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理蒋本珊第二版答案

计算机组成原理蒋本珊第二版答案【篇一:计算机组成原理(蒋本珊)第六章】 有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3种:同步控制方式、异步控制方 式和联合控制方式。 同步控制方式的各项操作都由统一的时序信号控制,在每个机器周 期中产生统一数目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令来说会有较多的空闲时间,造成 较大数量的时间浪费,从而影响了指令的执行速度。异步控制方式 的各项操作不采用统一的时序信号控制,而根据指令或部件的具体 情况决定,需要多少时间,就占用多少时间。异步控制方式没有时 间上的浪费,因而提高了机器的效率,但是控制比较复杂。联合控 制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个 指令周期划分为若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工作脉冲。 3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1)从主存中取出一条指令,并指出下一条指令在主存中的位置。(2)对指令进行译码或测试,产生相应的操作控制信号,以便启 动规定的动作。 (3)指挥并控制cpu 、主存和输入输出设备之间的数据流动。控 制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3类,分类的依据在于控制器的核心———微操作信号发生器(控 制单元cu)的实现方法不同。 4.中央处理器有哪些功能?它由哪些基本部件所组成? 5.中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。解:cpu 中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器 两大类。通用寄存器可用来存放原始数据和运算结果,有的还可以 作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完 成某一种特殊功能的寄存器,如程序计数器pc 、

计算机组成原理——第四章测试题

1、采用规格化的浮点数是为了(D) A、增加数据的表示范围 B、方便浮点运算 C、防止运算时数据溢出 D、增加数据的表示精度 2、以下(D)表示法主要用来表示浮点数中的阶码 A、原码 B、补码 C、反码 D、移码 3、浮点加减运算中的对阶指(A) A、将较小的一个阶码调整到与较大的一个阶码相同 B、将较大的一个阶码调整到与较小的一个阶码相同 C、将被加数的阶码调整到与加数的阶码相同 D、将加数的阶码调整到与被加数的阶码相同 4、假定采用IEEE 754单精度浮点数格式表示一个数,则该数为(B) A、(+1.125)10×210 B、(+1.125)10×211 C、(+0.125)10×211 D、(+0.125)10×210 5、如果浮点数的尾数用补码表示,则下列(D)中的尾数是规格化形式 A、1.11000 B、0.01110 C、0.01010 D、1.00010 6、float型数据通常用IEEE 754单精度浮点数格式表示,若编译器将float型变量x分配在一个32位浮点寄存器FR1中,且x = -8.25,则FR1的内容是(A) A、C104 0000H B、C242 0000H C、C184 0000H D、C1C2 0000H 7、运算器虽由许多部件组成,但核心部件是(A) A、算术逻辑运算单元ALU B、多路开关 C、数据总线 D、累加寄存器ACC 8、使用74LS181这种器件来构成一个16位的ALU,需要使用(B)片。 A、2 B、4 C、8 D、16 9、用4片74181和1片74182相配合,具有(D)传递功能 A、串行进位 B、组内并行进位,组间串行进位 C、组内串行进位,组间并行进位 D、组内、组间均为并行进位 10、和外存储器相比,内存储器的特点是(C) A、容量大、速度快、成本低 B、容量大、速度慢、成本高 C、容量小、速度快、成本高 D、容量小、速度快、成本低 11、磁盘属于(D)类型的存储器 A、随机存取存储器 B、只读存储器 C、顺序存取存储器 D、直接存取存储器 12、某计算机系统,其操作系统保存在硬盘上,其内存储器应该采用(C) A、RAM B、ROM C、RAM和ROM D、都不对 13、计算机的存储系统是指(D) A、RAM B、ROM C、主存储器 D、Cache、主存储器和外存储器 14、一般存储系统由三级组成,下列关于各级存储器的作用及速度、容量的叙述中正确的是(C) A、主存存放正在CPU中运行的程序,速度较快,容量很大 B、Cache存放当前所有频繁访问的数据,特点是速度最快、容量较小 C、外存存放需联机保存但暂时不执行的程序和数据,容量很大且速度很慢 D、外存存放需联机保存但暂时不执行的程序和数据,容量很大且速度很快 15、以下器件中存取速度最快的是(C)

相关主题
文本预览
相关文档 最新文档