当前位置:文档之家› 交通信号灯控制系统

交通信号灯控制系统

交通信号灯控制系统
交通信号灯控制系统

交通信号控制系统

1. 设计任务

设计一个十字路口交通控制系统,要求:

(1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是30秒、3秒和30秒,交通灯运行的切换示意图如图1-1

所示。

(2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。

(3)当东西或南北两路中任意一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止记时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。

2.总体框图

本系统主要由分频计、计数器和控制器等电路组成,总体框图如1-2所示。分频计将晶振送来的信号变为1Hz时钟信号;当紧急制动信号无效时,选择开关将1Hz脉冲信号送至计数器进行倒计时计数,并使控制器同步控制两路红、黄、绿指示灯时序切换;当紧急制动信号有效时,选择开关将紧急制动信号送至计数器使其停止计数,同时控制器控制两路红灯全亮,所有车辆停止运行。

2-1 交通灯总体结构框图

3 模块设计

(1)分频器

设晶振产生的信号为2MHz,要求输出1Hz时钟信号,则分频系数为2M,需要21位计数器。用VHDL设计的2M分频器文本文件如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY fenpin2m IS

PORT(clk:IN STD_LOGIC;

reset:IN STD_LOGIC; --时钟输入

clk_out:out STD_LOGIC);

END ENTITY fenpin2m;

ARCHITECTURE one OF fenpin2m IS

signal count:integer range 0 to 1999999;

BEGIN

PROCESS(clk)

BEGIN

if reset='1' then

count<=0;

clk_out<='0';

else

if clk'EVENT and clk='1'THEN

IF count<999999 THEN

count<=count+1;

clk_out<='0';

ELSif count<1999999 then

count<=count+1;

clk_out<='1';

else

count<=0;

END IF;

END IF;

END IF;

END PROCESS ;

END one;

(2) 模30倒计时计数器

采用原理图输入法,用两片74168实现。74168为十进制可逆计数器,当U/DN=0时实现9~0减法计数,记到0时TCN=0;当U/DN=1时实现0~9加法计数,计到9时TCN=0;ENTN+ENPN=0时执行计数,否则计数器保持。该电路执行减法计数,当两片计数器计到0时同步置数,因此该计数器的计数范围是29~0,当系统检测到紧急制动信号有效时,CP=0计数器停止计数。

图3-1 模30减法计数器电路图

图3-2 模30减法计数器仿真波形

(3)显示译码器

显示译码器为动态显示,用VHDL文本输入法设计的七子段译码器如下:

图3-3 显示译码器的仿真波形

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; --调用库文件

ENTITY xianshi IS --实体开始

PORT(CLK:IN STD_LOGIC;

datain1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

datain2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

--扫描时钟信号

SEL:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); --数码管选择信号

q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --数码管八端输入

end xianshi; --实体结束

ARCHITECTURE one OF xianshi IS --结构体开始

begin

PROCESS(CLK) --进程开始

V ARIABLE TMP:STD_LOGIC_vector(1 downto 0);

V ARIABLE d:STD_LOGIC_VECTOR(3 DOWNTO 0);

--中间变量

begin

IF(CLK'EVENT AND CLK='1')THEN --时钟上升沿有效

IF(TMP="00")THEN

TMP:="01";

d:=datain2;

CASE d IS

WHEN"0000"=>q<="00111111"; --0

WHEN"0001"=>q<="00000110"; --1

WHEN"0010"=>q<="01011011"; --2

WHEN"0011"=>q<="01001111"; --3

WHEN"0100"=>q<="01100110"; --4

WHEN"0101"=>q<="01101101"; --5

WHEN"0110"=>q<="01111101"; --6

WHEN"0111"=>q<="00000111"; --7

WHEN"1000"=>q<="01111111"; --8

WHEN"1001"=>q<="01100111"; --9

WHEN OTHERS=>q<="00000000"; --数码管不显示

END CASE;

elsif(tmp="01")then

TMP:="00"; --如果tmp为"001"即第2个数码管显示b输入

d:=datain1;

CASE d IS

WHEN"0000"=>q<="00111111"; --0

WHEN"0001"=>q<="00000110"; --1

WHEN"0010"=>q<="01011011"; --2

WHEN"0011"=>q<="01001111"; --3

WHEN"0100"=>q<="01100110"; --4

WHEN"0101"=>q<="01101101"; --5

WHEN"0110"=>q<="01111101"; --6

WHEN"0111"=>q<="00000111"; --7

WHEN"1000"=>q<="01111111"; --8

WHEN"1001"=>q<="01100111"; --9

WHEN OTHERS=>q<="00000000"; --数码管不显示

END CASE;

end if;

end if;

sel<=tmp; --把tmp的值赋予sel

end process; --进程结束

end one;

(4)控制器

该模块输入为1Hz时钟,和紧急制动信号PE,输出为两路红、黄、绿指示灯,当紧急制动信号无效(PE=1)时,两路红、黄、绿灯时序切换。当紧急制动信号有效时,选项开关将紧急制动信号送至计数器使其停止计数,同时使控制器控制两路红灯全亮,所有车辆停止通行。用VHDL文本输入法设计的控制器如下;

图3-4控制器的仿真波形

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY contr IS

PORT(cp1:IN STD_LOGIC;

pe:IN STD_LOGIC;

chan:IN STD_LOGIC;

ra,ga,ya,rb,gb,yb:out STD_LOGIC);

END ENTITY contr;

ARCHITECTURE one OF contr IS

signal count:integer range 0 to 59;

BEGIN

process(cp1)

begin

if pe='0'then

count<=0;

else

IF(cp1'EVENT AND cp1='1') THEN

if count<59 then

count<=count+1;

else

count<=0;

end if;

end if;

end if;

END PROCESS;

PROCESS

BEGIN

if pe='1'then

if chan='1' then

if count<30 then

rb<='0';

gb<='1';

yb<='1';

ra<='1';

if count<27 then

ga<='0';

ya<='1';

else

ga<='1';

ya<='0';

end if;

else

rb<='1';

ga<='1';

ya<='1';

ra<='0';

if count<57 then

gb<='0';

yb<='1';

else

gb<='1';

yb<='0';

end if;

end if;

else

if count<30 then

rb<='1';

ga<='1';

ya<='1';

ra<='0';

if count<27 then

gb<='0';

yb<='1';

else

gb<='1';

yb<='0';

end if;

else

rb<='0';

gb<='1';

yb<='1';

ra<='1';

if count<57 then

ga<='0';

ya<='1';

else

ga<='1';

ya<='0';

end if;

end if;

end if;

else

rb<='0';

ra<='0';

gb<='0';

yb<='0';

ga<='0';

ya<='0';

end if;

end process;

end one;

4 交通灯原理图

图4-1 交通灯原理图

交通信号灯控制系统

株洲师范高等专科学校物理与电子工程系毕业论文 交通信号灯控制系统 姓名:汤知路 指导老师:肖利君 专业:应用电子技术 班级:07级应电班 学号:04207109 时间:2010-5-5至2010-5-28

摘要 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。本设计主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。应用的主要芯片有74LS163,74LS153,3-8译码器,555定时器电路等组成。 关键字:交通控制、交通灯、时间发生器、555定时器 Abstract With the development of society and economy, urban traffic problems and cause the attention of people. People, vehicles and road, the relationship of traffic management has become an important problem to be solved. Urban traffic control system is designed for urban traffic data monitoring and control traffic lights, traffic persuation computer integrated management system, it is the modern urban traffic control system is one of the most important parts of it. Cross the road traffic lights control is the key of traffic safety and roads. This design is mainly by the controller, timer and decoder and pulse signal generator, etc. Second is the system of pulse generator timer and standard of the clock signal controller, two groups of decoder output signal control signal, the driver circuit, drive signal after working controller is the main part of the system, by which control the timer and decoder of work. The main chip has 74LS163 application, 3-8, 74LS153 decoder, 555 timing circuits, etc.

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

智能交通信号灯控制系统设计

智能交通信号灯控制系 统设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。 图 1 传统双机容硬件错示意图

实训-交通信号灯控制系统

交通信号灯控制系统 重点内容: ●LED显示的驱动电路设计和LED显示输出扫描程序 ●按键输入电路和按键扫描程序 ●时间中断的使用。 一、实例说明 有如图所示的街区十字路口,需要为十字路口设计一个交通灯控制系统,该系统的要求如下:东南西北每个方向各有一个红绿灯组,每个红绿灯组包含红黄绿三种颜色的信号灯。 ●每天的23:00~次日凌晨的6:00,由于车流量较小,为了节省电能各个方向的红绿 灯出于休息状态(只亮黄灯)。 ●每天的6:00~23:00,红绿灯出于工作状态,两个互相垂直方向的绿灯交替点亮来 控制交通。交通灯工作状态过程如后所示,在某一个时间段东南方向红灯亮,西北方向绿灯亮;经过一定的时间后,西北方向该为黄灯闪烁,此时东南方向保持红灯; 西北方向红灯闪烁5秒后转为红灯,此时东南方向变为绿灯。依此东南方向的红绿灯和西北方向的红绿灯循环往复。

交通信号灯控制系统提供了一个控制面板,交警可以通过该面板可以调整红灯和绿灯亮的时间间隔、控制交通信号灯控制系统的开关等,从而达到控制交通流量的目的。交通信号灯控制系统的控制面板如下图所示,该面板共有6个LED,每3个LED 为一组,用于显示交通信号灯的点亮时长(单位为秒);面板上共有5个按键,用于控制交通灯控制系统的点亮时长和系统的开关。

二、硬件电路设计 1、LED输出电路 ●本案例中共有6个LED,为了充分利用ARM微处理器的IO资源,我们采用扫描的 方式。 ●LED的输出电路如上图所示,为了使电路比较清晰,这里只画出了其中两个LED。 每个七段码LED的a~g端口是并联,分别连接LPC2131的P1.19、P1.20、P1.21、P1.22、P1.23、P1.24和P1.25。而gnd端口各由一个管脚控制,6个七段码LED的gnd端分别连接LPC2131的P0.0、P0.1、P0.2、P0.3、P0.4和P0.5。当需要点亮其中某一个七段码LED时,将对应的gnd端口电平拉低,a~g端口根据需要显示的数字给不同的电平。这种连接方式在某一特定时刻只能点亮其中一个七段码LED,而其他的七段码LED处于熄灭状态。 ●为了让他们看上去是同时点亮并且能够显示不同的数值,我们利用人眼的视觉暂留 对他们进行扫描,在一个比较短的始终周期内(0.01s左右)轮流点亮6个七段码LED。 2、按键电路 ●本案例中的共有5个按键,如下图的控制面板所示,其中一个按键用来控制交通灯 控制系统的开关,而其他四个按键用来调整红灯和绿灯的持续时间 ●按键电路如下图所示,当没有任何按键被按下时,所有与按键连接的管脚为高电平;

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯程序

《PLC组态与维护》课程测试答题册 系别:动力工程系 专业:工业热工控制技术 班级: 姓名: 学号: 任课教师: 完成时间:2014年5月26日 目录

一、测试题目 (3) 二、I/O分配表 (4) 三、硬件接线图 (4) 四、控制时序图 (5) 五、顺序功能图 (5) 六、控制梯形图 (6) 七、答题心得 (15) 八、参考文献 (15)

一、测试题目 北向 南向 东向 西向 红 红 红 红 黄 黄 黄 黄 绿 绿 绿 绿 上图所示为双干道交通信号灯设置示意图。信号灯的动作受开关总体控制,按一下起动按钮,信号灯系统开始工作,并周而复始地循环动作;按一下停止按钮,所有信号灯都熄灭。 信号灯控制的具体要求见下表。 试编写信号灯控制程序,具体要求如下: 1.理清输入输出信号的关系和状态。 2.列出I/O 分配表。 3.绘制PLC 硬件接线图、控制时序图和顺序功能图。 4.设计控制梯形图程序

二、I/O 分配表 东西方向红灯 Q 4.3 BOOL 东西方向黄灯 Q 4.5 BOOL 东西方向绿灯 Q 4.4 BOOL 南北方向红灯 Q 4.0 BOOL 南北方向黄灯 Q 4.2 BOOL 南北方向绿灯 Q 4.1 BOOL 启动按钮 I 0.1 BOOL 停止按钮 I 0.2 BOOL 三、硬件接线图 DC24V +-HL1 HL2 HL3 HL4 HL5SB1 SB2 HL6 DC24V - + M 1M I0.0 I0.1 1L Q4.0 Q4.1 Q4.2 Q4.3 Q4.4Q4.5

四、控制时序图 红灯绿灯黄灯红灯绿灯 黄灯东西 南 北时间 45s T0 3S T12S T2 25S T3 3S T42S T5 五、顺序功能图 M0.0 M0.1M0.2 M0.3 M0.4 M0.5 M0.6 T0Q4.3Q4.1T0 M5.1T1 T1 Q4.2T2 T2 Q4.0Q4.4T3 T3 M5.2T4 T4 Q4.4T5 T5

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明 一、操作面板示意图: 二、修改程序的基本步骤: 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 步骤1、按住“显示程序”键,听毕 “啼”音后进入程序修改操作; 步骤2、显示[-0 0·7 00] 步骤3、显示[- 0 02·02 设定第一段程序开始运行的时间,按数字下 面相对应的“减”或“加”来调整时分。 显示内容说明:当前显示的是“-0 0.7 00” “-0”的含义指的是当前设定的是第一段程 序。“07 00”的含义是指时间,在以下三个 步骤中设定的程序将在凌晨7点钟开始运 行。用“·”的位置指示当操作步骤的进度, 在以下几个步骤中“·”点的位置往后移。 设定干线与支线左转弯绿灯时间,按加减来 调整干线或支线左转弯绿灯时间,注意:调 整为02.02则控制器工作于两相位模式。 步骤4、显示[- 0 2 5 2·5] 设定参数,一般不需修改,如需修改按数字 下面相对应的按键。第一位”2”代表黄灯过渡 到红灯时红灯持续时间为2秒,第二位”2” 代表绿灯过渡到黄灯时黄灯持续时间为2 秒,第三位”5”代表绿闪次数5次,第四位数 是右转弯绿灯的运行模式。 步骤5、显示[- 0 2 2 5 8·] 设定干线与支线直线绿灯时间,左边的两位 数是干线的,右边的两位数是支线的,按数 字相对应的“减”或“加”来调整绿灯时间。

三、修改多时段程序的步骤: 在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1初始化出厂设置如下:(四相位设置:直线先行)

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯及控制系统设备安装与施工详解

交通信号灯及控制系统设备安装与施工详解 交通信号系统包括机箱、灯杆、SCATS检测线圈、电缆与电线、取电电源、防雷与接地、管井与管道等设施设备,下面介绍各个部分的材料、安装要求和施工工序。 机箱 1.信号机箱无特殊情况时一般安装在路口的西南角。 2.信号机箱的安装应考虑设置在人行横道上视野宽阔、不妨碍行人及车辆通行、能观察到交叉口的交通状况和信号灯的变化状况、并能容易驳接电源的地点。 3.信号机箱的基础位置与人行横道的路缘距离应在50~100cm,与路缘平行,基础高于地面20cm,平面尺寸应和信号机箱底座尺寸一致,地面以下的水泥钢筋基础至少70cm 深。 4.在有可能积水的地面安装信号机箱时,应适当增加基础高度,防止信号机被积水淹没。 5.信号机箱安装完毕后,应将机箱底部的接线孔用填充物密封,防止潮气侵蚀。 6.信号机箱安装时,保护接地线、避雷器接地线的接地施工应符合GB50169《电气装置安装工程接地装置施工及验收规范》的规定;接地完毕,测量信号机箱接地电阻小于4Ω。 灯杆 灯杆制作 1.信号灯杆所属的立柱、法兰盘、地脚螺栓、螺母、垫片、加强筋等金属构件及悬臂、支撑臂、拉杆、抱箍座、夹板等附件的防腐性能应符合GB/T18226《高速公路交通工程钢构件防腐技术条件》的规定。 2.信号灯杆应采用圆形或多棱形经热镀锌处理的钢管制造。 3.信号灯杆安装前须经过防锈处理,底层喷涂富锌防锈底漆,外层喷涂银灰色瓷漆。 4.机动车立柱式灯杆距路面约350mm 处留有拉线孔和拉线孔门,人行道和非机动立柱式灯杆距路面约300mm 处留有拉线孔和拉线孔门。 5.立柱式灯杆拉线孔门应设有防盗措施,孔内设置接地端子座,以便接驳地线。 6.立柱式灯杆顶部安装灯具处应留有出线孔,并配备橡胶护套、电缆线回水弯挂钩,灯杆顶部应安装塑料或经防腐处理的内套式金属防水管帽。 7.悬臂式灯杆悬臂杆与支撑杆使用圆形或多棱形的变截面型材制作,悬臂与灯杆连接端宜焊接固定法兰盘,悬臂下应留有进线孔和出线孔。 8.悬臂式灯杆拉杆宜使用圆钢制作,一端配有可调距离的螺旋扣,直径和长度根据悬臂长度确定。 9.信号灯杆杆体底部应焊接固定法兰盘,法兰盘与杆体之间应均匀焊接加强筋。 灯杆安装 1.悬臂式灯杆支撑臂使用抱箍、抱箍座与灯杆连接固定;拉杆与灯杆、拉杆与悬臂、支撑臂与悬臂可使用夹板连接固定;安装时使用的固定螺栓、螺母、垫圈应使用热镀锌件并用弹簧垫圈压紧。 2.紧固标准件全部采用不锈钢材料。 3.信号灯杆安装应保证杆体垂直,倾斜度不得超过±0.5%。 4.信号灯杆安装应有足够的强度,能抵抗12 级大风或者一般移动物体的撞击。 5.信号灯杆保护接地电阻应小于4Ω。 SCATS检测线圈 材料要求

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

交通信号灯_数字逻辑程序设计

石家庄经济学院 信息工程学院 数字逻辑课程设计报告 题目十字路口交通灯控制器姓名赵永超 学号409109070609 班号4091090706 指导老师 成绩 2010年6月 目录

1. 功能描述 (52) 2. 开发工具选择 (52) 3. 设计方案 (52) 4.模块描述 (52) 5. VHDL实现 (52) 6. 调试仿真 (52) 7. 课程设计回顾总结 (52) 参考文献 (52) 附录 (52)

1.功能描述 ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。信号灯在高电平时亮,低电平时熄灭。十字路口的交通灯控制电路功能: 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 2.开发工具选择 选择VHDL语言描述,利用Quartus II 5.1工具。 3. 设计方案 在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 (1)、首先对问题进行仔细分析 设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:

交通信号灯的PLC控制教(学)案

交通信号灯的PLC控制 使用教材:《可编程序控制器及其应用》(中国劳动社会保障) 授课班级:10秋电气班(中职二年级学生) 学生人数:30人 教学容:基于课本《基本指令综合运用》一节的容作拓展学习 授课类型:理实一体 一、教学目标 知识技能目标:1.进一步学习PLC的编程。 2.掌握交通信号灯的控制原理。 专业能力目标:培养学生的动手操作能力和自主探究能力。 职业情感目标:通过“工学一体化”的课堂教学,让学生边学边做,体验学习的充实与快乐。在小组合作学习中,互相交流促进,增强学生合作意识。 二、教学重点与难点 重点:交通灯PLC控制电路的组装与工作过程。 难点:交通灯PLC程序设计、调试运行。 三、教法与学法 教法:项目教学法(确定项目、制定计划、实施计划、检查评估、记录归档、知识延伸) 学法:小组学习法(将学生分为六个小组,每个小组设工段长、操作工等不同岗位,让学生分别明确自己的任务和职责。) 四、课时、教具 课时:2课时 教具:PLC与变频器实训室(集PLC编程电路安装、教室功能于一体,并具有多媒体演示功能);主要设备:S7-200 PLC、计算机、万用表。 五、教学程序 本次项目实施设置了六个环节,如图所示:

六、教学过程 教学过程一、确定项目(约10分钟) 采用创设工作情境的方式引入项目: 假设道路上没有交通灯,世界将变成什么样子?实际生活通灯是如何控制的?如果用我们所学的PLC知识,应该如何完成? 一组同学展示: 1.彩色图片展示:搜集十字路口交通灯图片。 2.交通灯工作演示:通过多媒体展示交通灯工作示意图,各组同学在操作中认识交通灯工作原理。 项目任务描述: 1、启动:当按下启动按钮时,信号灯系统开始工作。 2、停止:当需要信号灯系统停止工作时,按下停止按钮即可。 采用创设情境的方式引入项目,此方式使学生更直观了解本节课的实训项目,引起学生的学习兴趣。 充分发挥学生的自主能动性,使学生充分参与到教学活动中来。

交通信号灯控制逻辑电路设计

数字电路课程设计任务书 一、题目 交通信号灯控制逻辑电路设计 二、目的与要求 1. 目的: ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 ·进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力为了确保十字路口的车辆顺利地通过,往往采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框图如图3-1所示。 2. 要求 基本要求: 设计一个十字路口交通信号灯控制器,其要求如下 : 1、它们的工作方式满足如图3-1 顺序工作流程。 图中设南北向的红、黄、绿灯分别为 NSR、NSY、NSG,东西向的红、黄、绿灯分别为EWR、EWY、EWG 。 图3-1 交通灯信号灯工作流程 2、两个方向的工作时序:东西向亮红灯时间应等于南北向亮黄、绿灯时间之和,南北

向亮红灯时间应等于东西向亮黄、绿灯时间之和。时序工作流程图见图3-3所示。 图3-2 时序图 图3-2中,假设每个单位时间为4s,则南北、东西向绿、黄、红灯亮时间分别28,4,32s,一次循环为64s。其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3、十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减 1 计数方式工作,直至减到数为“0”,十字路口红、绿灯交换,一次工作循环结束,再进入下一步某方向的工作循环。 例如:当南北向从红灯转换成绿灯时,置南北向数字显示为“32”,并使数显计数器开始减“1”计数,当减到绿灯灭而黄灯亮(闪耀)时,数显的值应为4,当减到“0”时,此时黄灯灭,而南北向的红灯亮;同时,使得东西向的绿灯亮,并置东西向的数显为“32”。 3.创新要求 在基本要求达到后,可进行创新设计。 三、主要内容及实现的功能 为了确保十字路口的车辆顺利地通过,往往采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框图如图3-3所示。

相关主题
文本预览
相关文档 最新文档