当前位置:文档之家› 计算机组成原理实验报告

计算机组成原理实验报告

计算机组成原理实验报告
计算机组成原理实验报告

计算机组成原理实验报告

Computer Organization Lab Reports

______________________________________________________________________________ 班级: ___ 姓名:__ _ 学号:_____ 实验日期:_____________

学院: ___ _ 专业:_ _____

实验顺序:_______ 原创:__ _____ 实验名称:_ ____

实验分数:_______ 考评日期:________ 指导教师:

一.实验目的

1.理解RISC指令系统定义和指令周期流程图

2.了解RISC和CISC的体系结构特点及区别

3.掌握RISC处理器的一般设计原则和指令系统特征

二.实验环境

Dais-CMX16+达爱思教仪

三.实验原理

1.选用与分段模型机相同的五条基本指令

助记符机器指令码说明

IN RO,IOL 00100000 I/O(数据开关)偶字节→R0

ADD RO,[addr] 01000000 XXXXXXXX XXXXXXXX R0+[addr]→R0

STA [addr],R0 01100000 XXXXXXXX XXXXXXXX R0→[addr]

OUT [addr],IOH 10000000 XXXXXXXX XXXXXXXX [ADDR]→I/O奇字节

JMP addr 10100000 XXXXXXXX XXXXXXXX addr→PC

2.采用寄存器寻址及直接寻址两种方式,指令格式采用单字长、三字长两种格式:

7 6 5 4 3 2 1 0

操作码0 Rs Rd

操作码0 Rs Rd

Addr(低八)

Addr(高八)

其中Rs、Rd为不同状态,则选中不同寄存器:

Rs Rd 寄存器

0 0 R0

0 1 R1

1 0 R2

1 1 Ac

四.实验步骤及结果分析

1.基于RISC处理器构成模型机

(1)首先卸去实验连接,然后参阅操作手册把系统工作方式设为''逻辑/在线”。

(2)编辑及编译所设计的CPLD逻辑程序,将生成的JED文件下载至Xilinx XC9572芯片中;亦可把随机附带的实例X1636.JED下载至Xilinx XC9572芯片。

(3)在待令状态下,键入数字键“6”(RISC模型机代号),然后再键入【减址】命令键,实验装置自动装载RISC模型机的机器程序,装载完毕自动返待令态。(4)点击或按动单拍、单步(微单步)、宏单步(程序单步)及连续运行方式,使RISC 处理器执行与命令相对应的操作,可以通过部件显示单元、LCD 显示窗及集成软件的调试窗口,观察和检査RISC处理器运行轨迹。

(5)单拍运行说明:系统提供可变时序,非“取指”微周期节拍按

次序循环,在取指微周期按次序循环。

2.简述CISC和RISC的区别

从硬件角度来看,CISC处理的是不等长指令集,它必须对不等长指令进行分割,因此在执行单一指令的时候需要进行较多的处理工作。而RISC执行的是等长精简指令集,CPU在执行指令的时候速度较快且性能稳定。因此在并行处理方面RISC明显优于CISC,RISC可同时执行多条指令,它可将一条指令分割成若干个进程或线程,交由多个处理器同时执行。由于RISC执行的是精简指令集,所以它的制造工艺简单且成本低廉。

从软件角度来看,CISC运行的则是我们所熟识的DOS、Windows操作系统。而且它拥有大量的应用程序。因为全世界有65%以上的软件厂商都理为基于CISC 体系结构的PC及其兼容机服务的,象赫赫有名的Microsoft就是其中的一家。而RISC在此方面却显得有些势单力薄。虽然在RISC上也可运行DOS、Windows,但是需要一个翻译过程,所以运行速度要慢许多。

3.描述RISC的5条指令在内存中的存放位置

分别为000h、001h、004h、007h、00Ah

4.描述一条指令有几个CPU周期,每个CPU周期都干了什么

IN指令为单个微周期执行完成,STA、OUT、JMP三条指令为四个微周期执行完成,ADD指令需要六个微周期执行完成。

以ADD指令为例,W0时ROM→BL、PC+1,W3时ROM→BH、PC+1,W2时BX→BUS、BUS→AR,W1时,RAM→BUS、BUS→BL,1W0时R0→BUS、BUS→AL,1W1时A+B→BUS、BUS→R0

五.实验心得疑问建议

通过本次试验,不仅理解了RISC指令系统的定义和其指令周期流程图,还掌握了RISC 处理器的一般设计原则和指令系统特征,同时也对RISC和CISC体系结构的特点、区别以及硬布线控制器的工作原理有了一定了解。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理实验

计算机组成原理上机实验指导

一、实验准备和实验注意事项 1.本课程实验使用专门的TDN-CM++计算机组成原理教学实验设备,使用前后均应仔细检查主机板,防止导线、元件等物品落入装置导致线路短路、元件损坏。 2.完成本实验的方法是先找到实验板上相应的丝印字及其对应的引出排针,将排针用电缆线连接起来,连接时要注意电缆线的方向,不能反向连接;如果实验装置中引出排针上已表明两针相连,表明两根引出线部已经连接起来,此时可以只使用一根线连接。 3.为了弄清计算机各部件的工作原理,前面几个实验的控制信号由开关单元“SWITCH UNIT”模拟输入;只有在模型机实验中才真正由控制器对指令译码产生控制信号。在每个实验开始时需将所有的开关置为初始状态“1”。 4.本实验装置的发光二极管的指示灯亮时表示信号为“0”,灯灭时表示信号为“1”。 5.实验接线图中带有圆圈的连线为实验中要接的线。 6.电源关闭后,不能立即重新开启,关闭与重启之间至少应有30秒间隔。 7.电源线应放置在机专用线盒中。 8.保证设备的整洁。

二、实验设备的数据通路结构 利用本实验装置构造的模型机的数据通路结构框图如下图。其中各单元部已经连接好,单元之间可能已经连接好,其它一些单元之间的连线需要根据实验目的用排线连接。 图0-2 模型机数据通路结构框图

实验一运算器实验:算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构; 2.掌握运算器的工作原理; 3.掌握简单运算器的数据传送通路。 4.验证运算功能发生器(74LSl81)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验原理 实验中所用的运算器数据通路如图1-l所示。其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-B控制,控制运算器运算的结果能否送往总线,低电平有效。 为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。要将数据总线上的数据锁存到DR1、DR2中,锁存器的控制端LDDR1和LDDR2必须为高电平,同时由T4脉冲到来。 数据开关(“INPUT DEVICE”)用来给出参与运算的数据,经过三态门(74LS245)后送入数据总线,三态门由SW-B控制,低电平有效。数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线上的容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲。 ALU运算所需的电平控制信号S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B均由“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDRl、LDDR2为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

计算机组成原理习题答案第九章

1.外部设备有哪些主要功能?可以分为哪些大类?各类中有哪些典型设备? 解:外部设备的主要功能有数据的输入、输出、成批存储以及对信息的加工处理等。外部设备可以分为五大类:输入输出设备、辅助存储器、终端设备、过程控制设备和脱机设备。其典型设备有键盘、打印机、磁盘、智能终端、数/模转换器和键盘-软盘数据站等。2.键盘属于什么设备?它有哪些类型?如何消除键开关的抖动?简述非编码键盘查询键位置码的过程。 解:键盘是计算机系统不可缺少的输入设备。键盘可分为两大类型:编码键盘和非编码键盘。非编码键盘用较为简单的硬件和专门的键盘扫描程序来识别按键的位置。消除键开关抖动的方法分硬件和软件两种。硬件的方法是增设去抖电路;软件的方法是在键盘程序中加入延时子程序,以避开抖动时间。键盘扫描程序查询键位置码的过程为: ①查询是否有键按下。 ②查询已按下键的位置。 ③按行号和列号求键的位置码。 3 .说明针式打印和字模式打印有何不同?各有什么优缺点? 解:针式打印机利用若干根打印针组成的点阵来构成字符;字模式打印机将各种字符塑压或刻制在印字机构的表面上,印字机构如同印章一样,可将其上的字符在打印纸上印出。针式打印机以点阵图拼出所需字形,不需要固定字模,它组字非常灵活,可打印各种字符和图形、表格和汉字等,字形轮廓一般不如字模式清晰;字模式打印机打印的字迹清晰,但字模数量有限,组字不灵活,不能打印汉字和图形。 4 .什么是随机扫描?什么是光栅扫描?各有什么优缺点? 解:扫描方式有两种:光栅扫描和随机扫描。 在光栅扫描方式中,电子束在水平和垂直同步信号的控制下有规律的扫描整个屏幕。这种方式的控制比较简单,画面质量较好且稳定,但对行扫描频率要求较高。在随机扫描方式中,电子束能在屏幕上进行随机运动,其轨迹随显示内容变化而变化,只在需要显示字符和图形的地方扫描,而不必扫描全屏。这种方式显示速度快、画面清晰,尤其是线条的轮廓十分光滑,一般用于高清晰度的专用图形显示器中,但这种方式的控制比较复杂,而且只能用于字符和图形显示,不适于显示随机图像。 5 .什么是分辨率?什么是灰度级?它们各有什么作用? 解:分辨率由每帧画面的像素数决定,而像素具有明暗和色彩属性。黑白图像的明暗程度称为灰度,明暗变化的数量称为灰度级,分辨率和灰度级越高,显示的图像越清晰、逼真。 6 .某字符显示器,采用7×9点阵方式,每行可显示60个字符,缓存容量至少为1260字节,并采用7位标准编码,试问: (1)如改用5×7字符点阵,其缓存容量为多少?(设行距、字距不变———行距为5,字距为1。) (2)如果最多可显示128种字符,上述两种显示方式各需多大容量的字符发生器ROM ? 解:(1)因为显示器原来的缓存为1260B ,每行可显示60个字符,据此可计算出显示器的字符行数:1260÷60=21(行)因为,原字符窗口=8×14=(7+1)×(9+5),现字符窗口=6×12=(5+1)×(7+5)。所以,现显示器每行可显示80个字符,显示器可显示的字符行数为24行。故缓存的容量为80×24=1920B 。 (2)ROM 中为行点阵码 7×9点阵方式:128×9×7=1152×7(位)=1152(字节)5×7点阵方式:128×7×5=896×5(位)=896(字节)注:

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理第九章课后部分答案

9.2 控制单元的功能是什么?其输入受什么控制? 答:控制单元的主要功能是发出各种不同的控制信号。其输入受时钟信号、指令寄存器的操作码字段、标志和来自系统总线的控制信号的控制。 9.3 什么是指令周期、机器周期和时钟周期?三者有何关系? 答:CPU每取出并执行一条指令所需的全部时间叫指令周期; 机器周期是在同步控制的机器中,执行指令周期中一步相对完整的操作 (指令步)所需时间,通常安排机器周期长度等于主存周期; 时钟周期是指计算机主时钟的周期时间,它是计算机运行时最基本的时 序单位,对应完成一个微操作所需时间,通常时钟周期等于计算机主频 的倒数。 9.4 能不能说机器的主频越快,机器的速度就越快,为什么? 解:不能说机器的主频越快,机器的速度就越快。因为机器的速度不仅与主频有关,还与数据通路结构、时序分配方案、ALU运算能力、指令功能 强弱等多种因素有关,要看综合效果。 9.6 设某机主频为8MHz,每个机器周期平均含2个时钟周期,每条指令平均有4 个机器周期,试问该机的平均指令执行速度为多少MIPS?若机器主频不变,但每个机器周期平均含4个时钟周期,每条指令平均有4个机器周期,则该机的平均指令执行速度又是多少MIPS?由此可得出什么结论? 解:先通过主频求出时钟周期,再求出机器周期和平均指令周期,最后通过平均指令周期的倒数求出平均指令执行速度。计算如下: 时钟周期=1/8MHz=0.125×10-6s. 机器周期=0.125×10-6s×2=0.25×10-6s 平均指令周期=0.25×10-6s×4=10-6s 平均指令执行速度=1/10-6s=1MIPS 当参数改变后:机器周期= 0.125×10-6s×4=0.5×10-6s 平均指令周期=0.5×10-6s×4=2×10-6s

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理课后习题答案(一到九章).doc

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容?答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么?答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3冯?诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯?诺依曼计算机的基本思想包含三个方面: 1)计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2)采用二进制形式表示数据和指令。 3)采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心?答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5什么叫总线?总线的主要特点是什么?采用总线有哪些好处?答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU 内部总线、部件内总线、系统总线、外总线。 1.7计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;② 运算速度快;③运算精度高;④具有很强的存储能力和逻辑判断能力;⑤通用性强。 1.8衡量计算机性能有哪些基本的技术指标?以你所熟悉的计算机系统为例,说明它的型号、主频、字长、主存容量、所接的I/O设备的名称及主要规格。 答:衡量计算机性能的基本的技术指标有: ①基本字长;② 主存容量;③ 运算速度;④ 所配置的外部设备及其性能指标;⑤系统软件的配置。

第1-3章计算机组成原理课后习题答案

第1章计算机系统概论 1.1 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:计算机系统由硬件和软件两大部分组成。硬件即指计算机的实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备的实物组成,如主机、外设等。软件是看不见摸不着的,由人们事先编制成具有各类特殊功能的信息组成,用来充分发挥硬件功能,提高机器工作效率,便于人们使用机器,指挥整个计算机硬件系统工作的程序、资料、数据集合。硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 1.2 如何理解计算机系统的层次结构? 解:(1)第一级:实际机器M1 (机器语言机器),机器语言程序直接在M1上执行;(2)第二级:虚拟机器M2(汇编语言机器),将汇编语言程序先翻译成机器语言程序,再在M1-上执行;(3)第三级:虚拟机器M3(高级语言机器),将高级语言程序先翻译成汇编语言程序,再在M2、M1(或直接到M1)上执行;(4)第零级:微程序机器M0(微指令系统),由硬件直接执行微指令。(5)实际上,实际机器M1和虚拟机器M2之间还有一级虚拟机,它是由操作系统软件构成,该级虚拟机用机器语言解释操作系统。(6)虚拟机器M3还可以向上延伸,构成应用语言虚拟系统。 1.3 说明高级语言、汇编语言和机器语言的差别及联系。 解:机器语言由0、1代码组成,是机器能识别的一种语言。用机器语言编写程序时要求程序员对他们所使用的计算机硬件及其指令系统十分熟悉,编写程序难度很大,操作过程也极易出错。 汇编语言是符号式的程序设计语言,汇编语言是面向机器的语言,它由一些特殊的符号表示指令。用汇编语言编写的汇编语言程序必须先被翻译成机器语言程序,才能被机器接受并自动运行。汇编语言的每一条语句都与机器语言的某一条语句(0、1代码)一一对应。 高级语言是面向用户的语言,与具体的计算机指令系统无关、对问题的描述更接近于人们习惯,且易于掌握和书写。它具有较强的通用性,程序员完全不必了解、掌握实际机器M1的机型、内部的具体组成及其指令系统,只要掌握这类高级语言的语法和语义,便可直接用这种高级语言来编程,给程序员带来了极大的方便。但是,用高级语言编写的高级语言程序必须先被翻译成汇编语言程序,然后再将其翻译成机器语言程序或者将高级语言程序直接翻译成机器语言程序才能被机器接受并自动运行。 1.4 如何理解计算机组成和计算机体系结构? 解:计算机组成是指如何实现计算机体系结构所体现的属性,它包含了许多对程序员来说是透明的硬件细节。 计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,即概念性的结构与功能特性,通常是指用机器语言编程的程序员所看到的传统机器的属性,包括指令集、数据类型、存储器寻址技术、I/O机理等等,大都属于抽象的属性。

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1 CPU有哪些功能?画出其结构框图并简要说明每个部件的作用。 8.2 什么是指令周期?指令周期是否有一个固定值?为什么? 解:指令周期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长度都是 不一致的,也就是说指令周期对于不同的指令来说不是一个固定值。 8.3 画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令 间址周期:取有效地址 执行周期:取操作数(当指令为访存指令时) 中断周期:保存程序断点 8.4 设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“LDA@X”(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。 解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单 的机器中。 下面采用单总线形式连接各部件,框图如下:

(1)LDA@X指令周期数据流程图: PC→MAR M(MAR)→MDR (MDR)→IR PC+1→PC Ad(IR)→MAR M(MAR)→MDR MDR→Ad(IR) Ad(IR)→MAR M(MAR)→MDR MDR→AC (2)中断周期流程图如下:

8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别? 解:并行性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即 在同一时刻或同一时间段内完成两个或两个以上性质相同或 性质不同的功能,只要在时间上存在相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更 能提高处理器速度,为什么? 解:指令流水:指将一条指令的执行过程分为n个操作时间大致 相等的阶段,每个阶段由一个独立 的功能部件来完成,这样n个部件 可以同时执行n条指令的不同阶段, 从而大大提高CPU的吞吐率。 指令二级流水和四级流水示意图如下:

计算机组成原理课后答案

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示 操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

相关主题
文本预览
相关文档 最新文档