当前位置:文档之家› 数字电路_实验一_与门,或门,异或门的实现

数字电路_实验一_与门,或门,异或门的实现

数字电路_实验一_与门,或门,异或门的实现
数字电路_实验一_与门,或门,异或门的实现

实验一与门,或门,异或门的实现

一、实验目的

1.加深了解TTL逻辑门的参数意义。

2.认识各种电路及掌握空闲端处理方法。

3.学会用与非门实现与门,或门,异或门。

二、实验设备

电源,数字电路实验箱,函数信号发生器,数字双踪示波器,74LS00,电线若干

三、实验原理

1.与非门的一个输入端悬空则得到非门的功能,如下图:

2.

3.

4.

四、实验电路

1.

2.

五、实验内容

1.与门的实现

1)引脚14接电源,引脚GND接地。引脚12接B,引脚13接A。这样从引

脚11中输出的即为AB 的非。

2) 引脚1接引脚11的输出,引脚2悬空,这样引脚3中输出的即为AB 的

非的非,即为AB 。

3) 将引脚3接到二极管灯上观察。

2. 或门的实现

1) 引脚14接电源,引脚GND 接地。引脚13接A ,引脚12悬空,这样引脚

11输出A 的非。引脚10接B ,引脚9悬空,这样引脚8输出B 的非。

2) 引脚1接引脚11的输出,引脚2接引脚8的输出,这样引脚3的输出即

为A+B 。

3) 将引脚3接到二极管灯上观察。

3. 异或门的实现

a) 引脚14接电源,引脚GND 接地。引脚13接A ,引脚12悬空,这样

引脚11输出A 非,同理,得到B 非。

b) 根据实验一由A 非和B 得到A _B ,同理得到AB _

c) 根据实验二,得到A _B+AB _

六、 实验结果

根据二极管灯在不同输入组合下的真值表看,以上实验步骤正确,方法可行。

七、 心得体会

这是第一次数字电路实验,而且还是合作实验,感触颇深。我对数字电路非常好奇,实验尽管不是太难,但由于平时对知识的掌握不够熟练,动手能力欠佳,实验过程中也颇有坎坷。不过最终也算认真地完成这次实验。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路第三章习题与答案

第三章集成逻辑门电路 一、选择题 1。三态门输出高阻状态时,()是正确的说法。 A.用电压表测量指针不动B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有( ). A。与非门B.三态输出门 C.集电极开路门D。漏极开路门 3。以下电路中常用于总线应用的有( )。 A.TSL门 B。OC门 C.漏极开路门D.CMOS与非门 4。逻辑表达式Y=AB可以用()实现。 A.正或门 B.正非门 C。正与门D。负或门 5.TTL电路在正逻辑系统中,以下各种输入中( )相当于输入逻辑“1”。 A.悬空 B。通过电阻2.7kΩ接电源 C.通过电阻2.7kΩ接地D.通过电阻510Ω接地 6.对于TTL与非门闲置输入端的处理,可以( )。 A。接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联 7.要使TTL与非门工作在转折区,可使输入端对地外接电阻RI( ). A.>RON B。<ROFF C。ROFF<RI

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验:基本逻辑门

数字电路实验:基本逻辑门 一、实验目的 研究TTL 门电路的性能及测试方法。 二、实验仪器 (1) 双线示波器 (2)数字万用表 (3) TES-1电子技术学习机 三、实验内容 实验10.1 TTL 与非门7400逻辑功能的测试 1. 将输出Y 接发光二极管(Y=1时二极管亮;否则灭),改变A 、B 的电平值,记录实验结果,并将该结果列成真值表形式。 2. 在A 端加入连续脉冲(频率f=1Hz ),将输出Y 接发光二极管。当B 端分别接+5伏和0伏时,观察Y 端的输出变化,验证逻辑“0”对与非门的封锁作用。 A B Y 图10.1 实验10.2 TTL 与非门7400传输延迟时间的测量 按图10.2接线,输入端接1MHz 连续脉冲,通过用示波器观察其输入、输出波形相位差的办法,测量出四个与非门的累计传输延迟时间。 实验10.3 TTL 与非门7400电压传输特性的测定 按图10.3接线。 U i 接直流稳压电源,调节U i 使之在0~5V 范围内变化(注意:U i 值不能≥6V ,否则将损坏芯片),测出U o 随U i 变化的值,将它们填入表10.1中,并用曲线表示之,试粗糙确定U T 值。 u i u o 图10.2 +5V Uo

实验10.4 TTL 与非门7400输入端特性测试 按图10.4接线。 改变B 端所接的电阻值,分别测量并纪录相应的电压U B 及U o ,将结果填入表10.2中。 四、总结要求 (1) 根据表21.1,画出与非门7400的电压传输曲线。 (2) 根据表21.2,总结与非门7400的输入端特性。 表10.1 表10.2 +5V Uo 图10.4

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

华师网络2014年9月课程考试《数字电路》练习测试题库及答案

华中师范大学网络教育学院 《数字电路》练习测试题库及答案 一、单项选择题。 1、在下列逻辑电路中,不是组合逻辑电路的是------ 。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 2、时序电路可以由____________组成。 A.门电路 B. 触发器或门电路 C. 触发器或触发器和门电路的组合 3、时序电路输出状态的改变____________。 A. 仅与该时刻输入信号的状态有关 B. 仅与时序电路的原状态有关 C. 与以上两者皆有关 4、组合电路的竞争和险象是指___________。 A. 输入信号有干扰时,在输出端产生了干扰脉冲 B. 输入信号改变状态时,输出端可能出现的虚假信号 C. 输入信号不变时,输出端可能出现的虚假信号 5、仅具有“置0” “置1”功能的触发器叫___________。 A. JK触发器 B. RS触发器 C. D触发器 6、译码电路的输入量是____________。 A. 二进制 B. 十进制 C. 某个特定的输入信号 7、由n个JK触发器构成的扭环形计数器,其有效计数状态共有___________个A.n;B.2的n次方;C.2n 8、编码电路和译码电路中,____________电路的输出是二进制代码。 A. 编码 B. 译码 C. 编码和译码 9、ROM是指_____________。 A. 随机读写存储器 B. 只读存储器 C. 不可擦除式只读存储器 D. 可擦可编程只读存储器 10、寄存器在电路组成上的特点是____________。

A. 有CP输入端,无数据输入端 B. 有CP输入端和数据输入端 C. 无CP输入端,有数据输入端 11、组合电路的竞争和险象是指___________。 A. 输入信号有干扰时,在输出端产生了干扰脉冲 B. 输入信号改变状态时,输出端可能出现的虚假信号 C. 输入信号不变时,输出端可能出现的虚假信号 12、若使JK触发器仅有翻转功能,控制端J、K正确接法是___________。A.J= K= Q n B.J=K=1 C.J=K=0 13、逻辑函数中的最小项_______________。 A. 任何两个不同的最小项乘积为1 B. 任何两个不同的最小项乘积为0 C. 任何两个不同的最小项乘积为1或0 14、下列触发器中,没有约束条件的是_________ 。 A.基本RS触发器B.主从RS触发器C.钟控RS触发器 D. 边沿D触发器 15、译码电路的输出量是____________。 A. 二进制代码 B. 十进制数 C. 某个对应的输出信号 16、七段数码显示译码电路应有____________个输出端。 A. 8个 B. 7个 C. 16个 17、通常计数器应具有___________功能。 A. 清零、置数、累计CP个数 B. 存取数据 C. 两者皆有 18、二进制译码器是指____________。 A. 将二进制代码转换成某个对应的输出信号 B. 将某个特定的输入信号转换成二进制数 C. 具有以上两种功能 19、译码电路的输入量是____________。 A. 二进制 B. 十进制 C. 某个特定的输入信号 20.某逻辑函数F = ABC ,它的反函数F应是________________。

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验_数字显示电路

数字显示电路 ——组合电路综合设计

一.实验目的 数字显示电路实验将传统的4个分离的基本实验,即基本门实验,编码器、显示译码器、7段显示器实验,加法器实验和比较器实验综合为—个完整的设计型的组合电路综合实验。通过本实验,要求学生熟悉各种常用MSI组合逻辑电路的功能与使用方法,学会组装和调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。 1)掌握基本门电路的应用,了解用简单门电路实现控制逻辑的方法。 2)掌握编码、译码和显示电路的设计方法。 3)掌握用全加器、比较器电路的设计方法。 二.设计要求 操作面板左侧有16个按键,编号为0到15,面板右侧配2个共阳7段显示器,操作面板图下图所示。

设计一个电路:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示l。若同时按下几个按键,优先级别的顺序是15到0。现配备1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个74LS47显示译码器。 三.各模块的设计 该数字显示电路为组合逻辑电路,可分为编码、译码和显示电路以及基本门电路、全加器电路。实验采用的主要器件有1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,与非门74LS00,2个显示译码器74LS47。 各种芯片的功能介绍如下: 1)8—3线优先编码器74LSl48简介及工作原理:

在数字系统中,常采用多位二进制数码的组合对具有某种特定含义的信号进行编码。完成编码功能的逻辑部件称为编码器。编码器有若干个输入,对于每一个有效的输入信号,给与电平信号的形式表示的特定对象,产生惟一的一组二进制代码与之对应。 按照编码信号的特点和要求,编码器分为3类。即二进制编码器,可用与非门构成4-2线、8-3线编码器。二—十进制编码器,将0~9十进制数变成BCD 码,如74LS147、优先编码器。 74LS148是8-3线优先编码器,其外引线排列如下图所示。 7I ~0I 为 8个信号输入,低电平有效。210Y Y Y 、 、为3位代码输出(反码输出)。ST 为选通输入端,当ST =0时允许编码;当ST =1时输出210 Y Y Y 、、和EX S Y Y 、 被封锁,编码被禁止。S Y 是选通输出端,级联应用时,高位片的S Y 端与低位片的ST 端相连接,可以扩展优先编码功能。EX Y 为优先扩展输出端,级联应用时可作为输出位的扩展端。

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

2020年智慧树知道网课《数字电子技术(广东工业大学)》课后章节测试满分答案

绪论单元测试 1 【判断题】(100分) 世界上第一块集成电路芯片诞生于1947年。() A. 对 B. 错 第一章测试 1 【判断题】(10分) 4位二进制数的最大数是1111B() A. 对 B. 错 2 【判断题】(10分) 4位八进制数的最大数是8888O() A. 对 B.

错 3 【判断题】(10分) 4位十六进制数的最大数是FFFFH() A. 错 B. 对 4 【判断题】(10分) 与4位二进制数的最大值等值的十进制数是15() A. 对 B. 错 5 【判断题】(10分) 与4位八进制数的最大值等值的十进制数是4038() A. 错

B. 对 6 【判断题】(10分) 与4位十六进制数的最大值等值的十进制数为65535() A. 对 B. 错 7 【判断题】(10分) 二进制数(1011.11)2的十进制数是11.3() A. 对 B. 错 8 【判断题】(10分) 十进制数(26.335)10转换成二进制数是=(11010.011)2()

A. 错 B. 对 9 【判断题】(10分) (000101010000)8421BCD是(150)10也是(96)16() A. 对 B. 错 10 【判断题】(10分) 用BCD码表示十进制数(36)10=(00110111)8421BCD() A. 错 B. 对

第二章测试 1 【判断题】(10分) 两个变量的异或运算和同或运算之间是反逻辑的关系。() A. 错 B. 对 2 【判断题】(10分) 代入定理中对代入逻辑式的形式和复杂程度有限制。() A. 对 B. 错 3 【判断题】(10分) 将一个约束项写人逻辑函数式或不写入逻辑函数式,对函数的输出有影响。() A. 错 B. 对

相关主题
文本预览
相关文档 最新文档