当前位置:文档之家› 江苏大学EDA课程设计实验报告

江苏大学EDA课程设计实验报告

江苏大学EDA课程设计实验报告
江苏大学EDA课程设计实验报告

EDA 课程设计报告

彩灯控制器的设计

一、系统设计要求

1、要有多种花型变化(至少设计4种)

2、多种花型可以自动变换,循环往复

3、彩灯变换的快慢节拍可以选择

4、具有清零开关

二、系统设计方案

根据系统设计要求,现设计一个具有六种花型循环变化的彩灯控制器。系统设计采用自顶向下的设计方法,系统的整体组转设计原理图如下图所示,它由时序控制模块和显示控制模块两部分组成。整个系统有3个输入信号:系统时钟信号CLK,系统清零信号CLR和控制彩灯节奏快慢的选择开关SPRRD。9个输出信号LED[8..0],分别用于模拟彩灯。

三、VHDL源程序

(1)时序控制模块的VHDL源程序(sx.vhd)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SX IS

PORT(

SPEED : IN STD_LOGIC;

CLK : IN STD_LOGIC;

CLR : IN STD_LOGIC;

CLK1 : OUT STD_LOGIC);

END SX;

ARCHITECTURE ART OF SX IS

SIGNAL CK : STD_LOGIC;

BEGIN

PROCESS (CLK, CLR,SPEED)

VARIABLE TEMP : STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

IF CLR='1' THEN

CK<='0';

TEMP:="000";

ELSIF (CLK'EVENT AND CLK='1') THEN

IF (SPEED='1')THEN

IF TEMP="011" THEN

TEMP:="000";

CK<=NOT CK;

ELSE

TEMP:=TEMP+1;

END IF;

ELSE

IF TEMP="111" THEN

TEMP:="000";

CK<=NOT CK;

ELSE

TEMP:=TEMP+'1';

END IF;

END IF;

END IF;

END PROCESS;

CLK1<=CK;

END ART;

(2)显示控制模块的VHDL源程序(xs.vhd)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY XS IS

PORT(

CLK1 : IN STD_LOGIC;

CLR : IN STD_LOGIC;

LED : OUT STD_LOGIC_VECTOR(8 downto 0));

END entity XS;

ARCHITECTURE ART OF XS IS

TYPE STATE IS(S0,S1,S2,S3,S4,S5,S6);

SIGNAL CURRENT_STATE: STATE;

SIGNAL LIGHT: STD_LOGIC_VECTOR(8 DOWNTO 0);

BEGIN

PROCESS (CLR, CLK1)IS

CONSTANT L1:STD_LOGIC_VECTOR(8 DOWNTO 0):="001001001";

CONSTANT L2:STD_LOGIC_VECTOR(8 DOWNTO 0):="010010010";

CONSTANT L3:STD_LOGIC_VECTOR(8 DOWNTO 0):="011011011";

CONSTANT L4:STD_LOGIC_VECTOR(8 DOWNTO 0):="100100100";

CONSTANT L5:STD_LOGIC_VECTOR(8 DOWNTO 0):="101101101";

CONSTANT L6:STD_LOGIC_VECTOR(8 DOWNTO 0):="110110110";

BEGIN

IF CLR='1' THEN

CURRENT_STATE<=S0;

ELSIF(CLK1'EVENT AND CLK1='1') THEN

CASE CURRENT_STATE IS

WHEN S0 =>

LIGHT<="ZZZZZZZZZ";

CURRENT_STATE<=S1;

WHEN S1 =>

LIGHT<=L1;

CURRENT_STATE<=S2;

WHEN S2 =>

LIGHT<=L2;

CURRENT_STATE<=S3;

WHEN S3 =>

LIGHT<=L3;

CURRENT_STATE<=S4;

WHEN S4 =>

LIGHT<=L4;

CURRENT_STATE<=S5;

WHEN S5 =>

LIGHT<=L5;

CURRENT_STATE<=S6;

WHEN S6 =>

LIGHT<=L6;

CURRENT_STATE<=S1;

END CASE;

END IF;

END PROCESS;

LED<=LIGHT;

END ARCHITECTURE ART;

(3)彩灯控制器顶层设计的VHDL源程序(caideng.vhd)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CAIDENG IS

PORT(

CLK : IN STD_LOGIC;

CLR : IN STD_LOGIC;

SPEED : IN STD_LOGIC;

LED : OUT STD_LOGIC_VECTOR(8 downto 0));

END entity CAIDENG;

ARCHITECTURE ART OF CAIDENG IS

COMPONENT SX IS

PORT(

SPEED : IN STD_LOGIC;

CLK : IN STD_LOGIC;

CLR : IN STD_LOGIC;

CLK1 : OUT STD_LOGIC);

END COMPONENT SX;

COMPONENT XS IS

PORT(

CLK1 : IN STD_LOGIC;

CLR : IN STD_LOGIC;

LED : OUT STD_LOGIC_VECTOR(8 DOWNTO 0));

END COMPONENT XS;

SIGNAL S : STD_LOGIC;

BEGIN

U1:SX PORT MAP(SPEED,CLK,CLR,S);

U2:XS PORT MAP(S,CLR,LED);

END ARCHITECTURE ART;

四、系统仿真

(1)时序控制模块的仿真

(2)显示控制模块的仿真

(3)彩灯控制器顶层设计的仿真

(4)整个系统的仿真

五、心得体会

通过这次课程设计对EDA技术有了更进一步的熟悉,VHDL 语言和C语言等其他语言还是有很大的区别。VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。在实际操作中发现设计和课本上的知识有很大联系,但又高于课本,一个简单的原理要把它应用以及和其他功能综合起来就有些困难。通过设计也巩固了我们的书本知识以及通过借阅书籍和上网查找资料,也丰富了自己对EDA的了解

总的来说,这次设计彩灯控制器还是比较成功的,在设计中遇到了很多问题,最后同学和老师指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢

报考前十位的高考理科热门专业主要是

报考前十位的高考理科热门专业主要是:经济学、金融学、国际经济与贸易、机械设计制造及其自动化、电子信息科学类、通信工程、土木工程、建筑学、软件工程、计算机科学与技术。由于金融学、经济学、以及国际经济与贸易专业已经在高考文科热门专业中进行过介绍,这里只介绍其他七个专业。 一:机械设计制造及其自动化 专业课程:画法几何及工程制图、电工电子学、理论力学、机械原理、理论力学、弹性力学、流体力学、电子技术材料力学、材料成型技术基础、机械设计、机械工程材料、互换性、微机原理及应用、控制工程基础、液压与气动技术、数控技术。 学生应具备能力:具备机电系统设计制造的基本知识与应用能力,具有进行机电产品设计、制造及设备控制、生产组织管理的基本能力。还要具有较扎实的自然科学基础、较好的人文、艺术和社会科学基础及正确运用本国语言、文字的表达能力;较系统地掌握本专业领域宽广的技术理论基础知识,掌握机、电、计算机结合的机电系统设计制造、科技开发、应用研究的能力;具有从事现代柔性加工系统的应用、运行管理和维护的能力。 就业方向:本专业毕业生主要从事机械产品的开发设计,机械产品的制造加工;机械产品的组装、调试、检测;机械设备的操作、安装、调试、运行、维护、维修及技术改造;机械产品和设备的营销、技术服务、生产管理等工作。 二:电子信息科学类专业课程:高等数学、英语、电路分析、电子技术基础、C 语言、VB程序设计、电子CAD、高频电子技术、电视技术、电子测量技术、通信技术、自动检测技术、网络与办公自动化技术、多媒体技术、单片机技术、电子系统设计工艺、电子设计自动化(EDA)技术、数字信号处理(DSP)技术等课程。 学生应具备能力:较系统地掌握专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围;掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的能力:掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本能力;了解信息产业的基本方针、政策和法规,了解企业管理的基本知识;了解电子设备和信息系统的理论前沿,就有研究、开发新系统、新技术的初步能力;掌握文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力。 就业方向:主要从事各类电子设备和信息系统的研究、设计、制造、应用和开发工作。 三:通信工程 专业课程:电路理论与应用的系列课程、计算机技术系列课程、信号与系统、单片机原理及应用、数字信号处理、通信原理、通信电子电路、无线通信方向系列课程、光通信方向系列课程、多媒体通信方向系列课程,信号与系统、通信原理、通信电子电路。 学生应具备能力:本专业学生主要学习通信系统和通信网方面的基础理论、组成原理和设计方法,受到通信工程实践的基本训练,具备从事现代通信系统和网络的设计、开发、调测和工程应用的基本能力。 就业方向:在通信领域中从事研究、设计、制造、运营及在国民经济各部门和国防工业中从事开发、应用通信技术与设备的高级工程技术。 四:土木工程 专业课程:建筑制图上下,理论力学,材料力学,结构力学,水力学,土力学, 土木工程概论,工程化学,大学物理(I),土木工程制图,土木工程材料,工程测量学,工程地质,混凝土结构设计原理,钢结构设计原理,Fortran程序设计,1080房屋建筑学,荷载与结构可靠度,工程经济与工程概预算,工程项目管理,土木工程施工,基础工程,砌体结构,混凝土单层厂房结构,房屋钢结构设计,高层建筑结构设计,材料力学实验,力学与工程概论,计算方法,弹塑性力学,土木工程英语,建设法规,桥梁工程,公路与城市道路工程,地基处理,岩土工程,建筑设备,建筑工程事故分析与处理,科学计算仿真。

江苏大学物理实验考试题库和答案完整版

大学物理实验A(II)考试复习题 1.有一个角游标尺,主尺的分度值是°,主尺上29个分度与游标上30个分度等弧长,则这个角游标尺的最小分度值是多少? 30和29格差1格,所以相当于把这1格分成30份。这1格为°=30′,分成30份,每份1′。 2.电表量程为:0~75mA 的电流表,0~15V 的电压表,它们皆为级,面板刻度均为150小格,每格代表多少?测量时记录有效数字位数应到小数点后第几位(分别以mA 、V 为记录单位)?为什么? 电流表一格小数点后一位 因为误差, 电压表一格小数点后两位,因为误差,估读一位 ***3.用示波器来测量一正弦信号的电压和频率,当“Y轴衰减旋钮”放在“2V/div”档,“时基扫描旋钮”放在“div”档时,测得波形在垂直方向“峰-峰”值之间的间隔为格,横向一个周期的间隔为格,试求该正弦信号的有效电压和频率的值。 f=1/T=1÷×= U 有效=÷根号2= ***4.一只电流表的量程为10mA ,准确度等级为级;另一只电流表量程为15mA ,准确度等级为级。现要测量9mA 左右的电流,请分析选用哪只电流表较好。 量程为10mA ,准确度等级为级的电流表最大误差,量程为15mA ,准确度等级为级,最大误差,所以选用量程为15mA ,准确度等级为级 5. 测定不规则固体密度 时,,其中为0℃时水的密度,为被测物在空气中的称量质量,为被测物完全浸没于水中的称量质量,若被测物完全浸没于水中时表面附 有气泡,试分析实验结果 将偏大还是偏小?写出分析过程。 若被测物浸没在水中时附有气泡,则物体排开水的体积变大,物体所受到的浮力变大,则在水中称重结果将偏小,即m 比标准值稍小,可知0ρρm M M -=将偏小 6.放大法是一种基本的实验测量方法。试写出常用的四种放大法,并任意选择其中的两种方法,结合你所做过的大学物理实验,各举一例加以说明。 累计放大法 劈尖干涉测金属丝直径的实验中,为了测出相邻干涉条纹的间距 l ,不是仅对某一条纹测量,而是测量若干个条纹的总间距 Lnl ,这样可减少实验的误差。 机械放大法 螺旋测微器,迈克尔孙干涉仪读数系统

江苏大学 计算机图形学第三次实验报告 二维图形变换

计算机科学与通信工程学院 实验报告 课程计算机图形学 实验题目二维图形变换 学生姓名 学号 专业班级 指导教师 日期

成绩评定表

二维图形变换 1. 实验内容 完成对北极星图案的缩放、平移、旋转、对称等二维变换。 首先要建好图示的北极星图案的数据模型(顶点表、边表)。另外,可重复调用“清屏”和“暂停”等函数,使整个变换过程具有动态效果。 2. 实验环境 操作系统:Windows XP 开发工具:visual studio 2008 3. 问题分析 为了建立北极星图形,首先在二维空间中根据坐标绘制出北极星图形。并且在此坐标系中确定好走笔顺序以便于进行连线操作。 同时需要好好的使用清屏函数以使得显示正常。 1. 放大缩小变换 放大缩小变换公式为:x’=x.a, y’=y.d; 其中a,d分别为x,y方向的放缩比例系数。 可通过不同的比例系数来显示程序运行结果。当a=d时为等比例放缩操作。可令变换矩阵为T。 2. 对称变换 包括以x轴对称、y轴对称和原点O对称三种。由于屏幕坐标只有第一象限,我们可以将原点平移到(500,240)处。在第一象限画出一个三角形,然后分别求出三个对称图形。 3. 旋转变换 将图形上的点(x,y)旋转θ角度,得到新的坐标(x’,y’)为: x’=xcosθ-ysinθ, y’=xsinθ+ycosθ;

旋转矩阵T为4.平移变换 4. 算法设计 5. 源代码

//北极星 void hzbjx(CDC* pDC,long x[18],long y[18]) { CPen newPen1,*oldPen; newPen1.CreatePen(PS_SOLID,2,RGB(255,0,0)); oldPen = pDC->SelectObject(&newPen1); POINT vertex1[11]={{x[1],y[1]},{x[2],y[2]},{x[3],y[3]},{x[4],y[4]},{x[5],y[5]},{x[3],y[3]},{x[1],y[1]},{ x[6],y[6]},{x[3],y[3]},{x[7],y[7]},{x[5],y[5]}}; pDC->Polyline(vertex1, 11); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(0,255,0)); oldPen = pDC->SelectObject(&newPen1); POINT vertex2[5]={{x[6],y[6]},{x[8],y[8]},{x[9],y[9]},{x[3],y[3]},{x[8],y[8]}}; pDC->Polyline(vertex2, 5); POINT vertex3[5]={{x[4],y[4]},{x[10],y[10]},{x[11],y[11]},{x[3],y[3]},{x[10],y[10]}}; pDC->Polyline(vertex3, 5); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(255,0,90)); oldPen = pDC->SelectObject(&newPen1); POINT vertex4[11]={{x[12],y[12]},{x[13],y[13]},{x[3],y[3]},{x[9],y[9]},{x[14],y[14]},{x[15],y[15]},{x[ 3],y[3]},{x[11],y[11]},{x[12],y[12]},{x[3],y[3]},{x[14],y[14]}}; pDC->Polyline(vertex4, 11); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(0,100,255)); oldPen = pDC->SelectObject(&newPen1); POINT vertex5[5]={{x[15],y[15]},{x[16],y[16]},{x[3],y[3]},{x[16],y[16]},{x[7],y[7]}}; pDC->Polyline(vertex5, 5); POINT vertex6[5]={{x[2],y[2]},{x[17],y[17]},{x[3],y[3]},{x[17],y[17]},{x[13],y[13]}};

江苏大学单片机课程设计

单片机课程实验报告 班级J计算机1302 学号4131110037 姓名杨岚 指导老师余景华 2016.07.09

一、多功能数字钟的设计要求: 1.能在LED显示器上实现正常的时分秒计时 2.能通过键盘输入当前时间,并从该时间开始计时 3.有校时、校分功能 4.有报时功能,通过指示灯表示 5.有闹时功能,闹时时间可以设定,通过指示灯表示 二、课程设计电路图: 图1 设计电路图 ?HD7279A的片选引脚CS连在P5.7; ·通过C8051F020的P1.6、P1.7连接7279A的CLK和DATA实现串行数据编程; ?KEY连在比较器1的同相输入端CP1P

三、设计思路: 根据课程设计要求,我们要设计一个多功能数字电子时钟,随着人类科技文明的发展,高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。本实验正是基于这种设计方向,以单片机(C8051F020)为控制核心,设计制作一个多功能的数字时钟。在这些当中,必须要求要有时钟功能、校时校分功能、整点报时和闹钟功能等。 1.1首先要实现数码管的正常时分秒计时必须初始化系统时钟,初始化I/O端口以及定时器和使能比较器等,为系统的运行做必要的准备。 1.2其次通过键盘输入当前时间并从当前时间开始计时,这个过程中搞清楚,通过键盘输入的数据送到了哪里,是通过什么样的方式送进去的,同时对时间的计时有一个严密的算法来控制。 1.3在时间通过键盘输入并正常显示后,可以通过按键来进行校时、校分的功能。并显示出校正后的时间 1.4通过按键实现闹钟功能,在设置闹钟的同时,原时间能确保正确行走。 7279指令说明:88H,闪烁控制指令,d1-d8对应8个数码管,0表示闪烁,1表示不闪烁。 开始 输入按键(ABCD) 输入按键(F) 当达到整点时, A (校时的高位) F(输入闹钟)数码管闪烁3秒 B(校时的低位)当当前时间与闹钟时间相等时, C(校分的高位)数码管闪烁2秒。 D(校分的低位) 图2 功能模块图 ?输入按键A使得时钟的时高位加1,若时高位值超过2则返回0值。 ?输入按键B使得时钟的时低位加1,若时低位值超过9则返回0值同时时高位加1,最后判断时高位>1且时低位>3则时高位=0,时低位0。 ?输入按键C使得时钟的分高位加1,若分高位值超过5,则时低位加1,分高位至0。 ?输入按键D使得时钟的分低位加1,若分低位值超过9,则分高位加1,分低位至0。 ?输入按键E使得时钟的秒高位加1,若秒高位值超过5,则分低位加1,秒高位至0。 ?按键F进入闹钟模块。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

江苏大学动力工程及工程热物理研究生阶段科目

动力工程及工程热物理(0807) 发布时间:2007/09/04 一、培养目标 为适应我国社会主义建设事业的需要,培养德、智、体全面发展的高级专门人才,要求硕士研究生达到如下目标: (一)较好地掌握马克思主义理论,具有正确的人生观、价值观和世界观,坚持四项基本原则,遵纪守法,品德良好,学风严谨,具有较强的事业心和开拓进取精神。 (二)具有本学科坚实的基础理论和系统的专门知识,深入了解本学科的现状、发展动态和国际学术研究的前沿。能独立地开展具有较高学术意义或实用价值的科研工作,并有创新性成果。能较熟练地掌握一门外国语,具有一定的写作能力和进行国际学术交流的能力。具备从事本学科工程技术、科学研究和教学工作的能力。(三)身心健康。 二、研究方向 (一)工程热物理(080701) 1.燃烧理论与燃烧模型 2.微尺度能量转换机理与微动力系统 3.材料加工中的传热传质 4.新能源利用 5.热物理测试技术 (二)热能工程(080702) 1.工业加热过程优化与控制技术 2.煤与生物质热化学转化 3.洁净煤燃烧技术和污染控制的研究 4.强化传热与高效换热设备开发 (三)动力机械及工程(080703) 1.动力机械新能源及节能技术 2.动力机械工作过程及排放控制

15、先进干燥技术. T.库德著[加]. 化学工业出版社,2005 16、工程燃烧概论. 霍然. 中国科技大学出版社,2001 17、计算传热学的近代进展. 陶文铨. 科学出版社,2000 18、计算传热学. 施天谟著[美],陈越南,范正翘,陈善年. 科学出版社,1987 19、煤的清洁燃烧. 毛健雄. 科学出版社,2000 20、太阳能的基础及应用. 日本太阳能学会编,刘鉴民. 上海科学技术出版社,1982 21、煤炭气化原理与设备. 王同章. 机械工业出版社,2002 22、离心泵和轴流泵. A.J.斯捷潘诺夫. 机械工业出版社,1980 23、叶片泵与透平压缩机. C.普夫来德纳尔. 机械工业出版社,1983 24、叶片泵计算与结构. A.T.特罗斯科兰斯基. 机械工业出版社,1981 25、流体力学概论. L.普朗特等著,郭永怀等. 科学出版社,1981 26、现代泵技术手册. 关醒凡. 宇航出版社,1998 27、泵手册(第三版). Igor J.Karassik等. 中国石化出版社,2002 28、噪声与振动控制工程手册. 马大猷. 机械工业出版社,2002 29、旋转机械振动监测及故障诊断. 张正松. 机械工业出版社,1991 30、故障诊断的振动测试技术. 寇惠. 冶金工业出版社,1999 31、化工流体流动与传热. 柴诚敬、张国亮. 化学工业出版社,2004 32、化工设备的选择与设计. 刘道德. 中南大学出版社,2003 33、水力机械测试技术. 李建威. 机械工业出版社,1981 34、流体密封技术――原理与应用. [德].海因茨K米勒,程传庆译. 机械工业出版社,2002 二、主要专业学术期刊 (一)中文期刊 1、水泵技术 2、流体机械 3、内燃机学报 4、内燃机工程 5、燃烧科学与技 术6、热力发电 7、热能动力工程 8、声学学报 9、动力工程 10、工程热物理学报工业炉 11、应用力学学报 12、制冷学报 13、锅炉技术 13、化工机械 14、力学进展 15、化学工程 16、环境工程 17、环境科学 18、机械工程学报 19、金属矿山 20、空气动力学学报 21、力学学报 22、煤炭学报 23、农业工程学报 24、农业机械学报 25、燃料与化工学报 26、水动力学研究与进展 27、太阳能学报 28、选煤技术 29、半导体学报 30、生物工程学报 31、汽车工程 32、汽车发动机技术 33、信号处理 34、振动工程学报 35、清华大学学报 36、浙江大学学报 37、华中科技大学学报 38、江苏大学学报 39、西安交通大学学报 40、武汉大学学报 (二)外文期刊 1、Heat Transfer 2、Combustion and Flame 3、Combustion Theory and Modeling 4、Progress

课程设计报告MATLAB江苏大学

江苏大学 《电气工程工具软件培训》课程设计报告 设计题目:MATLAB工具软件 专业班级:电气1201 学生姓名:蔡婷 学生学号:3120501004 指导老师:黄永红 完成日期:2013.7.3 江苏大学·电气信息工程学院 (a组指导老师:黄永红; b组指导老师: 刘辉;c组指导老师:王博)

一MATLAB课程设计的目的和要求 1.MA TLAB软件功能简介 MA TLAB的名称源自Matrix Laboratory,1984年由美国Mathworks公司推向市场。它是一种科学计算软件,专门以矩阵的形式处理数据。MA TLAB将高性能的数值计算和可视化集成在一起,并提供了大量的内置函数,从而被广泛的应用于科学计算、控制系统和信息处理等领域的分析、仿真和设计工作。MA TLAB软件包括五大通用功能,数值计算功能(Nemeric)、符号运算功能(Symbolic)、数据可视化功能(Graphic)、数字图形文字统一处理功能(Notebook)和建模仿真可视化功能(Simulink)。其中,符号运算功能的实现是通过请求MAPLE内核计算并将结果返回到MA TLAB命令窗口。该软件有三大特点,一是功能强大;二是界面友善、语言自然;三是开放性强。目前,Mathworks公司已推出30多个应用工具箱。MA TLAB 在线性代数、矩阵分析、数值及优化、数值统计和随机信号分析、电路与系统、系统动力学、次那好和图像处理、控制理论分析和系统设计、过程控制、建模和仿真、通信系统以及财政金融等众多领域的理论研究和工程设计中得到了广泛应用。 2.MA TLAB课程设计的目的 本次课程设计主要是为了使学生了解MA TLAB软件的基本知识,熟悉MA TLAB的上机环境,掌握MA TLAB数值运算、程序设计、二维/三维绘图、符号运算、Simulink仿真等相关知识,并初步

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

江苏大学 dsp课程设计

JIANGSU UNIVERSITY 本科生课程设计DSP课程设计实验报告 基于ICETEK5509实验箱和基2FFT 算法的频谱分析 学院名称:计算机科学与通信工程学院 专业班级:通信工程 学生姓名: 指导教师姓名: 指导教师职称: 年月

一、设计目的与意义 1、本课程设计与理论课、实验课一起构成《DSP芯片原理与应用》完整课程 体系; 2、针对理论课、实验课中无时间和不方便提及内容和需强调重点进行补充与 完善; 3、以原理算法的实现与验证体会DSP技术的系统性,并加深基本原理的体会。 二、设计要求 1、系统设计要求: ⑴.设计一个以ICETEK5509为硬件主体,FFT为核心算法的频谱分析系统 方案; ⑵.用C语言编写系统软件的核心部分,熟悉CCS调试环境的使用方法, 在CCS IDE中仿真实现方案功能; ⑶.在实验箱上由硬件实现频谱分析。 2、具体要求: ⑴.FFT算法C语言实现与验证 1) 参考教材14.3节FFT核心算法在CCS软件仿真环境中建立FFT工 程:添加main()函数,更改教材中个别语法错误,添加相应的库文 件,建立正确的FFT工程; 2) 设计检测信号,验证FFT算法的正确性及FFT的部分性质; 3) 运用FFT完成IFFT的计算。 ⑵.单路、多路数模转换(A/D) 1) 回顾CCS的基本操作流程,尤其是开发环境的使用; 2) 参考实验指导和示例工程掌握5509芯片A/D的C语言基本控制流 程; 3) 仔细阅读工程的源程序,做好注释,为后期开发做好系统采集前端 设计的准备。 ⑶.系统集成,实现硬件频谱分析 1) 整合前两个工程,实现连续信号的频谱分析工程的构建;

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

电力系统及其自动化专业解析及考研

080802电力系统及其自动化 。 电力系统及其自动化 一、专业解析 (一)学科简介 电力系统及其自动化(电力工程及其自动化)是我们电力系统一直以来力求的发展方向,它包括:发电控制的自动化(AGC已经实现,尚需发展),电力调度的自动化(具有在线潮流监视,故障模拟的综合程序以及SCADA系统实现了配电网的自动化,现今最热门的变电站综合自动化即建设综自站,实现更好的无人值班.DTS即调度员培训仿真系统为调度员学习提供了方便)配电自动化(DAS已经实现尚待发展). 本专业是强电和弱电、计算机技术与电气控制技术交叉渗透的综合型学科专业。培养具有扎实的数学、物理和电气信息基础知识,在电气工程与信息领域从事电力系统运行与控制、信息处理、试验分析、研制开发等工作的复合型高级工程技术人才。 (二)培养目标 培养在电力系统及其自动化领域能独立从事科研、教学、管理等工作的德智体全面发展的高层次专门人才。具体要求是: 1.具有电力系统及其自动化方面坚实的理论基础和系统的专业知识,了解本学科有关研究领域的国内外学术现状和发展方向;具有独立分析和解决本学科的专门技术问题的能力。 2.较为熟练地掌握一门外国语,能阅读本专业的外文资料。 3.具有健康的体魄和心理素质。 (三)研究方向 各招生单位研究方向和考试科目不同,在此以华北电力大学为例: 01电力系统分析、运行与控制 02电力系统安全防御与恢复控制 03电力经济分析 04电力系统规划与可靠性 05智能技术及其在电力系统中的应用 06电力系统继电保护 07电力系统自动化技术 08电力系统故障分析与诊断

09高压直流输电与柔性输配电技术 10现代电能质量分析与控制技术 11电力系统电磁兼容 12特高压输变电技术 (四)考试科目 ① 101政治 ② 201英语 ③ 301数学一 ④ 822电力系统分析基础 二、推荐院校 以下院校是该专业研究生院实力较强者,建议选报: 华北电力大学、清华大学、西安交通大学、华中科技大学、西南交通大学、天津大学、浙江大学、武汉大学、华南理工大学、山东大学、哈尔滨工业大学、四川大学。 三、就业前景 电力系统及其自动化专业毕业学生就业范围比较宽广,社会需求量大。适应到国民经济各部门从事与电气工程有关的系统控制、信息处理、电力电子技术应用、电器设备研制等工作,能在科研院所、高等学校从事科研教学工作,也可攻读电气工程、控制工程等相关学科的研究生。 四、就业方向 毕业生可从事发电厂、供电局、电网调度所、各类大、中型企业承担电气运行、管理,以及电气设备的维护、检修、安装和调试等方面的工作,也可在有关的科研(所)、教学、建设施工单位从事工程设计、施工等工作,还可到有关的设备制造厂家从事产品开发、调试等工作。 主要工作部门: 1、电厂; 2、供电局; 3、电力公司; 4、设计院 5、电力设备厂 6、电建 7、电力设备研发公司 五、相同一级学科下的其他相近专业

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

2019年江苏大学物理实验考试题库及答案

2019年江苏大学一年级大学物理实验考试试题及答案 1.有一个角游标尺,主尺的分度值是0.5°,主尺上29个分度与游标上30个分度等弧长,则这个角游标尺的最小分度值是多少? 答案:每份1′ 分析:30和29格差1格,所以相当于把这1格分成30份。这1格为0.5°=30′,分成30份,每份1′ 2.电表量程为:0~75mA 的电流表,0~15V 的电压表,它们皆为0.5级,面板刻度均为150小格,每格代表多少?测量时记录有效数字位数应到小数点后第几位(分别以mA 、V 为记录单位)?为什么? 答案:电流表一格0.5mA ,小数点后一位,因为误差0.4mA 电压表一格0.1V ,小数点后两位,因为误差0.08V ,估读一位 3.(*)用示波器来测量一正弦信号的电压和频率,当“Y 轴衰减旋钮”放在“2V/div ”档,“时基扫描旋钮”放在“0.2ms/div ”档时,测得波形在垂直方向“峰-峰”值之间的间隔为8.6格,横向一个周期的间隔为9.8格,试求该正弦信号的有效电压和频率的值。 答案:510.2Hz ,6.08V 分析:f=1/T=1÷(9.8×0.0002)=510.2Hz ,U 有效=8.6×2÷2÷根号2=6.08V 4.(*)一只电流表的量程为10mA ,准确度等级为1.0级;另一只电流表量程为15mA ,准确度等级为0.5级。现要测量9mA 左右的电流,请分析选用哪只电流表较好。 答案:选用量程为15mA ,准确度等级为0.5级 分析:量程为10mA ,准确度等级为1.0级的电流表最大误差0.1mA, 量程为15mA ,准确度等级为0.5级,最大误差0.075mA, 即:选用量程为15mA ,准确度等级为0.5级。 5. 测定不规则固体密度ρ时,0m ρρ-=M M ,其中0ρ为0℃时水的密度,M 为被测物在空气中的称量质量,m 为被测物完全浸没于水中的称量质量,若被测物完全浸没于水中时表面附有气泡,试分析实验结果ρ将偏大还是偏小?写出分析过程。 答案:偏小 分析:若被测物浸没在水中时附有气泡,则物体排开水的体积变大,物体所受到的浮力变大,则在水中称重结果将偏小,

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

(完整版)江苏大学物理实验考试题库和答案完整版

WORD 格式 整理 大学物理实验A(II)考试复习题 1.有一个角游标尺,主尺的分度值是0.5°,主尺上29个分度与游标上30个分度等弧长,则这个角游标尺的最小分度值是多少? 30和29格差1格,所以相当于把这1格分成30份。这1格为0.5°=30′,分成30份,每份1′。 2.电表量程为:0~75mA 的电流表,0~15V 的电压表,它们皆为0.5级,面板刻度均为150小格,每格代表多少?测量时记录有效数字位数应到小数点后第几位(分别以mA 、V 为记录单位)?为什么? 电流表一格0.5mA 小数点后一位 因为误差0.4mA, 电压表一格0.1V 小数点后两位,因为误差0.08V ,估读一位 ***3.用示波器来测量一正弦信号的电压和频率,当“Y 轴衰减旋钮”放在“2V/div ”档,“时基扫描旋钮”放在“0.2ms/div ”档时,测得波形在垂直方向“峰-峰”值之间的间隔为8.6格,横向一个周期的间隔为9.8格,试求该正弦信号的有效电压和频率的值。 f=1/T=1÷(9.8×0.0002)=510.2 U 有效=8.6÷根号2=6.08V ***4.一只电流表的量程为10mA ,准确度等级为1.0级;另一只电流表量程为15mA ,准确度等级为0.5级。现要测量9mA 左右的电流,请分析选用哪只电流表较好。 量程为10mA ,准确度等级为1.0级的电流表最大误差0.1mA,量程为15mA ,准确度等级为0.5级,最大误差0.075mA,所以选用量程为15mA ,准确度等级为0.5级 5. 测定不规则固体密度 时,,其中为0℃时水的密度,为被测物在空气中的称量质量,为被测物完全浸没于水中的称量质量,若被测物完全浸没于水中时表面附 有气泡,试分析实验结果 将偏大还是偏小?写出分析过程。 若被测物浸没在水中时附有气泡,则物体排开水的体积变大,物体所受到的浮力变大,则在水中称重结果将偏小,即m 比标准值稍小,可知0ρρm M M -=将偏小 6.放大法是一种基本的实验测量方法。试写出常用的四种放大法,并任意选择其中的两种方法,结合你所做过的大学物理实验,各举一例加以说明。 累计放大法 劈尖干涉测金属丝直径的实验中,为了测出相邻干涉条纹的间距 l ,不是仅对某一条纹测量,而是测量若干个条纹的总间距 Lnl ,这样可减少实验的误差。

江苏大学plc课程设计

PLC原理课程设计 一、车辆出入库管理PLC梯形图控制程序设计与调试 二、带数显的温度控制 班级: 姓名: 学号:31105010 指导老师:刁小燕黄永红

目录 一、车辆出入库管理PLC梯形图控制程序设计与调试 1、设计任务 2、程序流程图 3、I/O分配表 4、I/O接线图 5、梯形图 二、带数显的温度控制 1、设计任务 2、程序流程图 3、I/O分配表 4、I/O接线图 5、梯形图

三、PLC课程设计心得 车库车辆出入库管理PLC梯形图控制程序设计与调试 一、设计任务 1.控制要求: (1)入库车辆前进时,经过1#传感器→2#传感器后,计数器A 加1,后退时经过2#传感器→1#传感器后,计数器B减1;(计数器B 的初始值由计数器A送来)只经过一个传感器则计数器不动作(2)出库车辆前进时,经过2#传感器→1#传感器后,计数器B 减1,后退时经过1#传感器→2#传感器后,计数器A加1;只经过一个传感器则计数器不动作 (3)车辆入库或出库时,均应有警铃报警(可分别设置),定时3s (4)可从两个七段数码管上显示目前仓库中有几部车 (5)仓库启用时,先对所有用到的存储单元清零,并应有仓库空显示

(6)若设仓库容量为12辆车,则仓库满时应报警并显示。 2、设计背景 随着生产力和科学技术的不断发展,人们的日常生活和生产活动大量的使用自动化控制,不仅节约了人力资源,而且很大程度的提高了生产效率,又进一步的促进了生产力快速发展,并不断的丰富着人们的生活。 目前,可编程控制器PLC主要是朝着小型化、廉价化、标准化、高速化、智能化、大容量化、网络化的方向发展,与计算机技术相结合,形成工业控制机系统、分布式控制系统DCS(Distributed Control System)、现场总线控制系统FCS(Field bus Control System),这将使PLC的功能更强,可靠性更高,使用更方便,适用范围更广。 本设计是基于PLC的车辆出入库管理系统,采用两位LED来显示车库内车辆的实际数量。使用两个光传感器来监控车辆的进出并完成计数工作,车辆进入时经过两个传感器使显示数字加一,车辆外出时经过两个传感器使显示数字减一,但当车辆只经过一个传感器时不计数。 为了防止意外计数错误,本系统采用反复程序校验,来提高系统的可靠性。 首先,注意控制两个传感器之间的距离,用程序验证进出车库的是否是车辆,当人通过传感器时不计数;其次,采用逻辑互锁方式,启动加计数则要锁定减计数,产生加计数脉冲时则要锁定减计数脉冲,如此以保证可靠性;最后,及时的进行复位处理,以免车辆在传感器附近作往返运动时错误计数。 本设计用于停车场可以使得车主轻松地判断出某停车场内是否还有空车位,还有多少空车位,以便选择是否在此停车场停车,大大方便了车主的寻找车位问题。因此该设计具有一定的经济和推广价值。 二、程序流程图

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

相关主题
文本预览
相关文档 最新文档