当前位置:文档之家› MAX+plusⅡ的安装方法

MAX+plusⅡ的安装方法

MAX+plusⅡ的安装方法

最新系统安装手册-Windows教学文案

目录 一、安装文件获得位置 (2) 二、第三方软件安装 (2) 三、IE S600系统的安装 (2) 四、修改环境变量 (3) 五、修改配置文件 (4) 六、安全配置 (8) 七、运行程序。 (9) 八、附录: (12)

一、安装文件获得位置 必须使用”\\172.20.2.121\tools\VS2005 SP1 下ACE 5.5 + Qt 3.3.8 + Orbacus 4.3.2”上预编译好的ACE_wrappers_5_5.rar,Qt.rar,IONA.rar。 可以从”\\yf-backup\tools\”上找到其它安装包。 二、第三方软件安装 1.ACE、Boost、Qt、Corba安装 将ACE_wrappers_5_5.rar 解压到D:\ACE_wrappers_5_5 将boost_1_33_1.tar.gz 解压到D:\boost_1_33_1 将Qt.rar 解压到D:\qt\3.3.8 将IONA.rar 解压到D:\IONA 2.Winrar 解压缩软件的安装。 3.daemon403-x86.exe 虚拟光驱的安装。 4.vs2005 用虚拟光驱安装,然后在安装vs2005的sp1补丁。注意:在选择安装种类的时 候,自定义选择中除去安装vb、c#、vj等用不到的资源,只保留vc++,.net framework 这样能加快安装,并且在安装前要检查是否已经安装.net framework如果已经安装需要先卸掉,在安装sp1补丁,一定要保证c盘空间足够大,一般设为15-20G,安装补丁时要保证有至少2G的空间能使用,有时虽然安装提示空间不足,但可以使用,检查方法是看qt中的designer程序能否使用。 注:安装补丁时,C盘剩余空间尽量要大。如果C盘空间不足时,可能出现错误:1718,参考修改办法: ●单击"开始",单击"运行",键入control admintools,然后单击"确定"。 ●单击"软件限制策略"。(注意:如果未列出软件限制,请右击"软件限制策略",然后 单击"新建策略"。 ●对象类型列表中的,右击“强制”,打开其属性 ●单击"除本地管理员以外的所有用户",然后单击"确定"。 5.xmanager 安装的时候要用企业版注册号。 6.jdk 安装时要注意安装目录最好不要又空格。

maxplus2简明教程一

第一章 MAX+plus II开发软件A1tera公司的MAX十plus II开发系统是一个完全集成化、易学易用的可编程逻辑设 计环境,它可以在多种平台上运行。MAX十plus是Multiple array matrix and programmable logic user system的缩写,目前已发行到了第10.1版本。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可即时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用Max十plus II软件。 1.1MAX+plus II功能特点 1、开放的界面 Altera的工作与EDA厂家紧密结合,使MAX+plus II软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用A1tera或标准EDA设计输入工具来建立逻辑设计,使用MAX十plus II编译器(Compiler)对A1tera器件设计进行编译,并使用A1tera 或其它EDA校验工具进行器件或板级仿真。目前,Max十plus II支持与Cadence、Exemplarlogic、MentorGraphics、Synopsys、Synplicity、Viewlogic和其它公司所提供的EDA 工具的接口。 2、与结构无关 MAX十plus II系统的核心Compiler支持Altera公司的ACEX1K、FLEXl0K、FLEX 8000、FLEX 6000、Max 9000、Max 7000、Max 5000和C1assic可编程逻辑器件系列,提供了业界唯一真正与结构无关的可编程逻辑设计环境。MAX十plus II的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。 3、多平台 Max十plus II软件可在基于486、奔腾PC机的Windows NT 3.51或4.0、Windows 95、Windows 98、Windows2000下运行,也可在Sun SPAC Stations,HP 9000Series 700/800和IBM RISC System/6000工作站上运行。 4、完全集成化 MAX十plus II的设计输入、编译与仿真功能全部集成在统一的开发环境下,这样可以加快动态调试,缩短开发周期。 5、丰富的设计库 MAX十plus II提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑宏功能(Macro-Function)以及新型的参数化的宏功能(Mage-Mnction)。调用库单元进行设计,可以大大减轻设计人员的工作量,也可成倍地缩短设计周期。 6、模块化工具 设计人员可以从各种设计输入、编译和仿真选项中进行选择,从而使设计环境用户化,必要时,还可根据需要添加新功能。由于MAX十plus II支持各种器件系列,设计人员不必学习新工具即可支持新结构。 7、硬件描述语言(HDL) Max + plus II软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera 自己的硬件描述语言AHDL。 8、Megacore功能 Megacore功能是为复杂的系统级功能提供的,经过校验的HDL网表文件,它能使ACEX1K、FLEX10K、FLEX 8000、FLEX 6000、MAX 9000和MAX 7000器件系列实现

(完整版)Sketchup常用快捷键(必备)

Sketchup常用快捷键

Sketchup常用快捷键

学习心得: 连续复制:选择物体后,按M,按Ctrl同时点击左键,移动复制的距离,点击左键,输入数字加X(例:5X,既复制5份); 间隔复制:同上,输入数字加/(例:5/,表示间隔复制5份);当物体和轴关系不是很明确时,不能对某轴进行移动。得想别的方法。画线时,可以寻求参考点来定位,很好用!线能够闭合面、割断线、分割面。选择物体时增加或减少用Shift配合。 在表面画了物体,剪切后粘贴,该物体会顺着目的表面安置,很好用。这是Sketch UP的特点。如果须使其失去联系,可右键选分离。 快捷键的安装:须先安装原程序提供的,然后再自行设置自己的快捷键。或者先清空所有,再导入快捷键(4.0用5.0的快捷键时)。 善用辅助线:用于定位,有卷尺与量角器两种。系统可以捕捉到辅助线。 隐藏辅助线(Q); 显示辅助线(shift+Q) 善用组和组件:组类似cad的定义块,不具有关联性;组件类似组,但具有关联性,修改一组件,其他相关联的组件也会被改变。按住Shift键可以锁定当前参考。 绘制矩形中,出现Square(平方)提示,则说明为正方形; 出现Golden Section(Golden剖面)提示,则说明为带黄金分割的矩形。 绘制弧线后输入“数字S”,来指定弧形的段数。同样也可指定圆的段数。 问题解答: 如何镜向?用旋转+Ctrl,配合恰当的参考点即可。还可用插件。 如何补面?加线封闭面即可。 如何作布尔运算?运算后,删除线面即可。如作了群组,须先炸开再删线面。 如何沿路径复制?若为单弧线,可找到弧心用旋转复制。还可用插件。 如何分页?Shift+E 锁定与解锁有何妙用?锁定就无法编辑。如何由线推出面?用xx插件 如何作出拉膜结构?先用定位方法画出弧形边线,再用地形生成工具生成膜。 模型对绘图速度的影响有那些?高分辨率材质、阴影、透明度对3D专业显卡要求高;模型边线或细部对CPU 要求高(可用窗口尺寸改小来测试)。 物体如何对齐?依靠辅助线是其中的一种方法。 如何准确画出立体的线段?使用辅助线、面来定位。 如何一开机,长度单位就默认为毫米?在系统属性下的模板选好图形模板即可。 sketch up一族之迷: 1.恢复箭头图标命令直接点击空格键盘 2.如何复制,移动组合的实体先点击空格键盘·或者在“工具”栏点击“选择”(S) 3.如何重复多次复制同一个实体

各种插件软件安装方法

各种插件软件安装方法 Thinkinetic Pulldownit Pro v2.1 For Maya (PDI破碎) 1.解压压缩包,双击打开Pulldownit_Pro_v 2.1_For_Maya_2010-2013_Win64bit.rar 里面提供了Maya2010-2013,四种安装版本,在这我选择了2012版本安装。 2.Ctrl+C复制Pdi_Pro_2.1_Maya2012x64文件夹,找到hxsd-我的文档-maya-2012x64 这个文件夹。把Pdi_Pro_2.1_Maya2012x64文件夹Ctrl+V粘贴在这里。 3.双击打开Pdi_Pro_2.1_Maya2012x64文件夹,找到pdiMaya文本,双击打开, 修改路径+ Thinkinetic 2.0 C:\Users\hxsd\Documents\maya\2012-x64\Pdi_Pro_2.1_Maya2012x64 并保存关闭。 4.此时在复制一份刚刚保存好的pdiMaya,并在maya根目录(C:\Users\hxsd\Documents\maya)下 新建文件夹modules,打开modules把pdiMaya放在里面。 5.重新打开Maya加载插件 blastcode1.7_for_maya2009_2011_64bit (只能在11以前版本使用的NURBS破碎) 1.找到插件所在文件夹,双击BlastCodeInstall_Trial17x64 2.一路欢脱的点击next,agree等,就能完成安装 3.重新打开Maya2009,就能在菜单栏里找到“Blast Code”菜单. 4.enjoy it! 3delight-10.0.4-setup-x64 1.双击3delight-10.0.4-setup-x64,选择English语言,并点击OK,进行下一步。 2.点击next,并选择I accept the agreement。

系列服务器windows操作系统安装步骤

IBM X系列服务器Windows操作系统安装步骤

引言 本文介绍采用IBM Server Guide光盘引导安装Windows操作系统,使用IBM Server Guide光盘安装会清除硬盘上的分区和数据,安装前请务必向客户说明,确认是否需要备份数据。 一、工具准备 IBM ServerGuide光盘一张, windows操作系统安装光盘一套(以windows2003为例), IBM ServeRAID Manager 安装光盘一张。 需要注意的是,根据服务器型号不同,所需要的IBM ServerGuide光盘 版本也不同,下面给出两者对应关系,请根据服务器型号选择合适版本。 二、具体安装步骤 1、设置服务器从光驱启动,开机按F1-startup进行设置。 2、用ServerGuide CD光盘启动服务器,光盘启动后,显示如下画面 选择使用本引导盘的语言(注意:此时语言为ServerGuide引导程序语言,与所要安装操作系统无关),出现如下画面,选择English) 3、选择键盘布局以及国家或地区画面,在这里全部选择United States,然后 点击Next 4、出现许可协议界面,阅读许可协议内容,点击I accept继续即可 中文用户可以在Language选项中选择Chinese 阅读中文协议 5、查看概述了解ServerGuide 功能,请在使用前仔细查看相关使用说明,点击Next继续 6、在这里可以选择要安装的操作系统,选择后点击Next 7、列表显示接下来将要做的配置,目前提示要设置日期和时间,点击Next 8、设置正确的日期和时间后点击Next 9、当出现清除硬盘信息界面时,请根据需要选择,如果需要保留已有的阵列信息,请选择Skip this task,但硬盘上的数据和分区仍然会被清除掉,选择clear all hard …会直接清除阵列及硬盘信息,如无特殊要求,我们一般选择第二项clear all hard disk drives and restore servraid to defaults,选择后点击Next继续

基于MAXPLUSii的数字钟实验(含源程序代码)

源程序与仿真图 CNT24 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000";tmph<=tmph+1; else tmpl<=tmpl+1; if(tmph=2) then if(tmpl=3) then tmpl<="0000";tmph<="0000";co<='1'; else tmpl<=tmpl+1;co<='0'; end if; end if; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; CNT60 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt60; architecture behave of cnt60 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000"; if(tmph=5) then tmph<="0000";co<='1'; else tmph<=tmph+1;co<='0'; end if; else tmpl<=tmpl+1; co<='0'; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; DIV1000 Library IEEE; Use IEEE.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use IEEE.std_logic_arith.all; Entity div1000 is Port( clk: in std_logic;--from system clock(1KHz) f1hz: out std_logic);-- 1Hz output signal end div1000; architecture arch of div1000 is signal count : integer range 0 to 499;--count from 0 to 499-local si signal temp:std_logic; begin

插件安装方法

PS滤镜安装方法: 滤镜插件下载后请释放到Photoshop滤镜文件夹里 1、如果是英文CS版本或7.0版本以下的,释放到Photoshop文件 \Plug-Ins\Filters文件夹里 2、如果是CS中文绿色版本的,释放到Photoshop文件\Required\增效工具\ 滤镜文件夹里 3、如果是CS8.0中文版本的,释放到Photoshop文件\增效工具\滤镜文件夹 里 文字安装方法: 字体下载后先释放到一个固定的文件里 然后打开电脑里的“控制面板”—“字体” 接着点菜单“文件”—“安装新字体”点击进入安装 找到刚才释放后的字体,等到“字体列表”处出现字体文件后 按右边的“全选”,然后按上面的“确定”就OK了 最后打开PS软件就有你新安装的字体了 PS笔刷安装方法: PS笔刷下载后请释放到Photoshop画笔文件夹里 1、如果是英文CS版本或7.0版本以下的,释放到Photoshop文件 \Presets\brushes文件夹里 2、如果是CS中文绿色版本的,释放到Photoshop文件\Required\预置\画笔 文件夹里 3、如果是CS8.0中文版本的,释放到Photoshop文件\预置\画笔文件夹里 GIF格式的自制笔刷导入到PS里的方法: 1、先打开PS,接着打开GIF格式的自制笔刷图片 2、然后选择软件最上面的菜单:编辑—定义画笔预设,会出来个菜单,在上面 打上画笔的名称,按“好”就可以了。 3、想看下那个笔刷,可以新建一个白背景的文档,点“画笔工具”,然后在画笔 选取器那里可以看到刚才保存的笔刷了。 4、如果想把保存好的笔刷存储到PS里,点击画笔选取器右上角的小三角,选 择“存储画笔”,把笔刷存储到PS的画笔文件里。 PS: 关于其他的PS插件安装方法基本与上面的PS笔刷安装方法一样,下载后都必须要释放到相应的 Photoshop文件夹里就可以了。 比如说:PS渐变插件下载后要释放到Photoshop文件/预置/渐变文件里。

WINDOWS XP系统安装步骤

WINDOWS XP系统安装步骤 一、设置光驱启动 1、找一张带启动功能的WINDOWS XP安装光盘放入光驱中。 2、重新启动计算机,并在开机自检时按F2键进入CMOS设置。 3、选择Advanced CMOS Setup(高级COMS设置)项,按Enter键进入。 4、移动↑、↓移动到Boot Device Priority(启动设备顺序设置),并按Enter键进入。 5、移动↑、↓移动到1ST(即第1启动设备,2nd、3rd、4th表示第2、第3、第4启动设备)并按Enter键进入。 6、移动↑、↓移动到CD/DVD,并Enter键设置成功。 说明:此项进入后主要有4个选择项,分别表示: Disable:禁止,IDE-0:从硬盘启动,Floopy:1.44MB 3 1/2:从3.25英寸1.44MB软盘启动,CD/DVD:从普通光驱或者DVD光驱启动。 7、按F10键并按“Y”字母保存设置,保存后电脑会重新启动。 提示:不同的主板有不同的设置,但大部分是如此。对于一些与此设置不同的主板,请参考主板说明书。 二、安装XP的步骤 现在,以安装Windows XP原版操作系统为例说明安装步骤。 电脑重新启动自检完成后,会在屏幕的最底下或最上面出现:BOOT FROM CD字样,表示电脑将从光驱启动。后面则会出现“Press qny key to boot from CD”(意思是按任意键将从光驱启动)的提示,时间点从1-5点依次增加,

表示等待5秒钟,如果在这5秒钟之内按下任意一键,则从光盘启动进入XP的安装,如果超过5秒钟,则从硬盘启动进入原来已安装好的系统。 重要说明: ★安装XP总共有3次的重新启动过程: 1、第一次即设置光盘启动; 2、第二次是安装程序从光盘上复制了系统安装文件; 3、第三次则是所有必须的系统文件和应用程序已复制到电脑上,安装基本完成,保存好设置后的重新启动。 ★如果在第一次重新启动后将光盘启动设置回硬盘启动或其它设备启动的话,就不再出现BOOT FROM CD字样,如果没有,则还会出现,请在最后2次的重新启动时不要在5秒内按任意键,否则又进行重复重制文件的过程。切记! XP系统盘光启之后便是蓝色背景的安装界面,这时系统会自动分析计算机信息,不需要任何操作,直到显示器屏幕变黑一下,随后出现蓝色背景的中文界面。 这时首先出现的是XP系统的协议,按F8键(代表同意此协议),之后可以见到硬盘所有分区的信息列表,并且有中文的操作说明。选择C盘,按D键删除分区(之前记得先将C盘的有用文件做好备份),C盘的位置变成“未分区”,再在原C盘位置(即“未分区”位置)按C键创建分区(说明:如果以前的系统被病毒破坏了,并无法修复,建议把所有的硬盘全部删除,再重新分区,然后在安装完成后再格式化,这样可以彻底清除残留在硬盘中的病毒)。之后原C盘位置变成了“新的未使用”字样,按回车键继续。 接下来有可能出现格式化分区选项页面,推荐选择“用FAT32格式化分区

MAX+plusII的基本用法

实验一MAX+plusII的基本用法 一、实验目的 掌握MAX+plusII的基本用法,了解EDA的设计流程。 二、实验步骤 建立和编辑一个VHDL或者硬件描述语言的工程文件,是数字系统或逻辑电路设计的第一步。下面以8—3译码器设计为例,叙述一下在MAX+ plusII系统中如何来完成一个工程文件的步骤,了解软件的基本使用方法。 1、建立工程目录 建立一个工程目录的主要目的是为了便于管理在系统编译、综合的过程中产生的许多临时文件和附属文件。在Windows操作系统中你想存放该工程的位置建立如:D:\max\test1\等工程目录来存放这个工程所生成的所有文件。通过Windows系统的“开始”菜单进入MAX+plusII集成环境。如图1—1所示: 图1—1 2、建立一个新文件 在图3—1点击“File”选项,如果输入的是新文件,点击子菜单中的“New”出现如 图3—2所示的对话框。在对话框内可供选择的4种编辑 方式为:Graphic Editor file为图形编辑;Symbol Editor file 为符号编辑;Text Editor file为文本编辑;Wavetorm Editor file为波形编辑。 如果用VHDL语言来编辑文件则选择文本编辑, 选取图1—2所示第三项,当该项字符被虚线框框住,并 在该项前面的圆圈中出现一个实心点时,表时该项已被选 中,点击“OK”系统将进入文本编辑状态,屏幕将会出现图1—2 如图1—3所示的编辑窗口。 图1—3

在图3—3所示的编辑窗口中输入你编写的程序,如下列的一串VHDL语句: LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL; ENTITY T1 IS PORT( S: IN STD_LOGIC_VECTOR(7 DOWNTO 0); Y: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END T1; ARCHITECTURE A OF T1 IS BEGIN WITH S SELECT Y <= "0000" WHEN "11111110", "0001" WHEN "11111101", "0010" WHEN "11111011", "0011" WHEN "11110111", "0100" WHEN "11101111", "0101" WHEN "11011111", "0110" WHEN "10111111", "0111" WHEN "01111111", "1000" WHEN OTHERS; END A; 对程序进行保存,点击图1—1中图标第 三项,或者点击“File”菜单中的“Save”图1-4 或“Save as”会弹出Save as对话框如图1—4所示。将文件保存为t1.vhd,其所在目录 为以前所设置的工程目录。由VHDL语言的 设定,文件名必须与程序内容里的文件名 一致。 如果想对文件进行修改、编辑或一个文件 已经存在对其进行编辑、修改,可以通过“File” 菜单中的“Open”子菜单会出现如图1—5所 示的对话框选取想修改、编辑的文件进行操 作。 3、选取芯片型号 由于编译、综合结果要生成适用于写可编程器 件的文件,所以在编译以前应选定最终要下载 的芯片型号。在MAX+plusII的工具中,可以 支持的下载芯片有多种,根据所设计的逻辑电 路规模,用户可以自由地进行选择。图1—5 点击主菜单的Assign选项,再点击子菜单中的Device项得到Device对话框,如图1—6所示,利用Device Family框中的上移和下移标志,找到适用的芯片系列。在Device框中选择所需用的芯片型号,点击“OK”确定。图1—6选取的是FLEX10K10系列中的EPF10K10LC84-4。

草图大师常用快捷键

草图大师常用快捷键集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

草图大师常用快捷键: 显示/旋转鼠标中键 显示/平移Shift+中键 编辑/辅助线/显示Shift+Q 编辑/辅助线/隐藏Q 编辑/撤销Ctrl+Z 编辑/放弃选择Ctrl+T;Ctrl+D(用林京的快捷键) 文件/导出/DWG/DXFCtrl+Shift+D 编辑/群组G 编辑/炸开/解除群组Shift+G 编辑/删除Delete 编辑/隐藏H 编辑/显示/选择物体Shift+H 编辑/显示/全部Shift+A 编辑/制作组建Alt+G 编辑/重复Ctrl+Y 查看/虚显隐藏物体Alt+H 查看/坐标轴Alt+Q 查看/阴影Alt+S 窗口/系统属性Shift+P 窗口/显示设置Shift+V(用林京的快捷键) 工具/材质X 工具/测量/辅助线Alt+M 工具/尺寸标注D 工具/量角器/辅助线Alt+P 工具/偏移O 工具/剖面Alt+/ 工具/删除E 工具/设置坐标轴Y 工具/缩放S 工具/推拉U 工具/文字标注Alt+T 工具/旋转Alt+R 工具/选择Space 工具/移动M 绘制/多边形P 绘制/矩形R 绘制/徒手画F 绘制/圆弧A 绘制/圆形C 绘制/直线L 文件/保存Ctrl+S 文件/新建Ctrl+N 物体内编辑/隐藏剩余模型I

物体内编辑/隐藏相似组建J 相机/标准视图/等角透视F8 相机/标准视图/顶视图F2 相机/标准视图/前视图F4 相机/标准视图/左视图F6 相机/充满视图Shift+Z 相机/窗口Z 相机/上一次TAB 相机/透视显示V 渲染/线框Alt+1 渲染/消影Alt+2 学习心得: 连续复制:选择物体后,按M,按Ctrl同时点击左键,移动复制的距离,点击左键,输入数字加X(例:5X,既复制5份); 间隔复制:同上,输入数字加/(例:5/,表示间隔复制5份); 当物体和轴关系不是很明确时,不能对某轴进行移动。得想别的方法。 画线时,可以寻求参考点来定位,很好用! 线能够闭合面、割断线、分割面。 选择物体时增加或减少用Shift配合。 在表面画了物体,剪切后粘贴,该物体会顺着目的表面安置,很好用。 这是SketchUp的特点。如果须使其失去联系,可右键选分离。 快捷键的安装,须先安装原程序提供的,然后再自行设置自己的快捷键。或者先清空所有,再导入快捷键(4.0用5.0的快捷键时)。 善用辅助线:用于定位,有卷尺与量角器两种。系统可以捕捉到辅助线。 隐藏辅助线(Q);显示辅助线(shift+Q) 善用组和组件:组类似cad的定义块,不具有关联性;组件类似组,但具有关联性,修改一组件,其他相关联的组件也会被改变。 按住Shift键可以锁定当前参考。 绘制矩形中,出现Square(平方)提示,则说明为正方形;出现GoldenSection(Golden剖面)提示,则说明为带黄金分割的矩形。 绘制弧线后输入“数字S”,来指定弧形的段数。同样也可指定圆的段数。 问题解答: 如何镜向?用旋转+Ctrl,配合恰当的参考点即可。还可用插件。 如何补面?加线封闭面即可。 如何作布尔运算?运算后,删除线面即可。如作了群组,须先炸开再删线面。 如何沿路径复制?若为单弧线,可找到弧心用旋转复制。还可用插件。 如何分页?Shift+E 锁定与解锁有何妙用?锁定就无法编辑。 如何由线推出面?用xx插件 如何作出拉膜结构?先用定位方法画出弧形边线,再用地形生成工具生成膜。 模型对绘图速度的影响有那些?高分辨率材质、阴影、透明度对3D专业显卡要求高;模型边线或细部对CPU要求高(可用窗口尺寸改小来测试)。 物体如何对齐?依靠辅助线是其中的一种方法。 如何准确画出立体的线段?使用辅助线、面来定位。 如何一开机,长度单位就默认为毫米?在系统属性下的模板选好图形模板即可。 SketchUp一族之迷: 1、恢复箭头图标命令直接点击空格键盘。

Windows7安装方法有多少种

Windows7安装方法有多少种 Windows7安装方法可分为:光盘安装法、模拟光驱安装法、硬盘安装法、优盘安装法、软件引导安装法、VHD安装法等。以下是的Windows7安装方法,希望大家认真阅读! 一、光盘安装Windows7法: 简述:光盘安装法可以算是最经典、兼容性最好、最简单易学的安装方法了。可升级安装,也可全新安装(安装时可选择格式化旧系统分区),安装方式灵活。不受旧系统限制,可灵活安装Windows7的32/64位系统。而且,现在有个软件,让你可以把你的Windows7光盘变成任意一种版本,可以随便切换喔,具体的参看Windows7之家文章:《想装啥版装啥版:Windows7安装光盘版本转换软件》方法: 1、下载相关系统安装盘的ISO文件,刻盘备用。(有光盘可省略此步骤) 2、开机进BIOS(一般硬件自检时按DEL或F2或F1键进,不同电脑设定不同),设定为光驱优先启动。按F10保存退出。 3、放进光盘,重启电脑,光盘引导进入安装界面。按相应选项进行安装。选择安装硬盘分区位置时,可选择空白分区或已有分区,并可以对分区进行格式化。其他不再详述。 缺点:在WIN7测试版本满天飞的情况下,这种刻盘安装无疑是最奢侈、最浪费、最不环保的方法了。只有在不具备或不能胜任其他安装方法的情况下才建议使用。

二、模拟光驱/虚拟光驱安装Windows7法: (特定情况下可能安装不能成功) 简述:模拟光驱(或称为虚拟光驱)安装法安装最简单,安装速度快,但限制较多,推荐用于多系统的安装。 方法: 在现有系统下用模拟光驱程序加载系统ISO文件,运行模拟光驱的安装程序,进入安装界面,升级安装时C盘要留有足够的空间。多系统安装最好把新系统安装到新的空白分区。 缺点: 1、由于安装时无法对现有系统盘进行格式化,所以无法实现单系统干净安装。因旧系统文件占用空间,也比较浪费磁盘空间。要有旧的操用系统。 2、因无法格式化旧系统分区,残留的病毒文件可能危害新系统的安全性。 3、旧32位系统无法安装64位系统,旧64位系统无法安装32位系统。 三、Windows7硬盘安装法: (特定情况下可能安装不能成功) 硬盘安装法可分两种: 1、最简单的硬盘安装法:把系统ISO文件解压到其他分区,运行解压目录下的SETUP.EXE文件,按相应步骤进行,不再详述。 此方法限制与缺点同模拟光驱安装法。同样不能格式化旧系统及32/64位不同系统不能混装。推荐用于多系统的安装。

maxplus2中常用元器件列表

1 打开图形编辑器(graphic editor),双击窗口,就可出现元件选项下拉菜单;在 c:\windows\temporary internet files 中,保存有用户自己编制的元件(实 体); c:\maxplus2\max2lib\prim中,有各类基本门电路及输入/输出端口; c:\maxplus2\max2lib\mf中,有74系列各类数字集成电路 c:\maxplus2\max2lib\mega_lpm中为可调参数库,包括参数化模块,功能 复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 c:\maxplus2\max2lib\edif中元件的功能和mf库类似 现将常用的prim和mf库中的主要元件罗列如下: c:\maxplus2\max2lib\prim and12 and2 and3 and4 and6 and8 一般与门 band12 band2 band3 band4 band6 band8 输入反向与门 = 或非门 bdir bdirc 双向端口、可控双向端口 bnand12 bnand2 bnand3 bnand4 bnand6 bnand8 输入反向与非门 = 或门 bnor12 bnor2 bnor3 bnor4 bnor6 bnor8 输入反向或非 门 = 与门 bor12 bor2 bor3 bor4 bor6 bor8 输入反向或门 = 与非门

dff dffe 带低电平置位/清零的D触发器,后者带使能端 gnd 接地 input inputc 输入端口 jkff jkffe 带低电平置位/清零的JK触发器,后者带使能端latch D锁存器 nand12 nand2 nand3 nand4 nand6 nand8 与非门nor12 nor2 nor3 nor4 nor6 nor8 或非门 not 反相器 or12 or2 or3 or4 or6 or8 或门 output outputc 输出端口 param 参量 srff srffe 带低电平置位/清零的RS触发器,后者带使能端 tff tffe 带低电平置位/清零的t触发器,后者带使能端 title 标题框 tri 三态门 vcc 正电源 xnor xor 同或、异或 c:\maxplus2\max2lib\mf

maxPLUS2 设计步骤

设计一般步骤 步骤1:为本项工程设计建立文件夹(自己的U盘且不是根目录); 注意:文件夹名以字母开头不能用中文,且不可带空格。 步骤2:新建设计文件并指向项目 1)菜单File=>New =>对话框中选择=>文本输入(扩展名.vhd)或原理图输入(扩展名.gdf)然后命名(以字母开头不能用中文,且不可带空格); 2)工程项目指向当前的设计文件:菜单File=>Project=>Set Project To Current File 然后选择此项; 步骤3:选择实现器件,放置原理图器件、连线 1)根据所用的实现器件型号(芯片背面)选择:菜单Assign => Device => 对话框中去掉“Show Only Fatest Speed Grades”复选框,实验室所用芯片为EP1K100QC208-3,所以,在DEVICE FAMILY 中选择ACEX1K ,DEVICE 中选择EP1K100QC208-3,选择后点击OK。 2)在原理图编辑界面,空白处右击鼠标=>选Enter Symbol 在出现的对话框中Symbol Name处输入器件代码或打开Symbol Libraries库中mf目录查找器件代码,所选择芯片出现在原理图编辑界面空白处,调整好位置和方向(Windows文档处理方法)。依此放置其他器件:输入管脚input,输出管脚output(需要重新命名:双击管脚再重命名):电源正极Vcc,电源地Gnd等。 3)鼠标左键点击连线起点,拖动到连线终点即可连接,中途改变方向需要在拐弯处松开鼠标左键一次,再拖动连线。 步骤4:编译并纠错 菜单MAX+PlusⅡ=>Compailer编译,有错误时,会出现在信息框中,纠错后再编译直到在编译信息中显示0 Error,有部分Warning不影响编译成功。 步骤5:锁定引脚(该步可省略,由EDA自动分配,但重编译后管脚号可能变动) 菜单Assign=> PIN/Location/Chip,出现的对话框中Node Name栏中直接输入管脚名或单击SEARCH按钮=>出现的对话框中单击LIST按钮=>在NAME IN Database列表中选择欲锁定的端口名,点击OK返回前一对话框。CHIP RESOURSE栏中,选PIN,在左侧下拉列表中点击黑三角选择管脚号,点击ADD按钮,重复以上过程锁定所有引脚,最后点击OK完成锁定。还可以用此步骤改变引脚号。 步骤6:建立仿真文件并设置仿真环境(自信功能正确,步骤6~8可以省去)

Maxplus Ⅱ基本操作方法

附录:Maxplus Ⅱ基本操作方法 ——图形输入法 利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。 MAX+plusII提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IP Core的巨功能块LPM 库。但更为重要的是,MAX+plusII还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusII提供原理图输入设计功能具有显著的优势: ?能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计; ?对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1ns ,因此能发现一切对系统可能产生不良影响的竞争冒险现象; ?通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正; ?能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件; ?通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。 ?如果使用FPGA和配置编程方式,将不会有如何器件损坏和损耗; ?符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。 以下将以一位全加器的设计为例详细介绍原理图输入设计方法,但应该更多地关注设计流程,因为除了最初的图形编辑输入外,其它处理流程都与文本(如VHDL文件)输入设计完全一致。

CAD导入Sketchup建模(居住区规划导入爆掉的看这里)

就像在AutoCAD里面需要一个良好的习惯一样,Sketchup建模也需要一个良好的习惯。这样对模型大小及运行速度都会有很大的帮助 1一个简单而清楚的CAD 底稿 1)框选整个图形,使用天正命令:消除重线(命令:XCCX), 2)删除无用的尺寸、标注、文字、轴线等,凡是建模没有作用的东西全部删除,把各种图块炸开,将所有线型、线宽改为默认。对天正6及以上版本绘制的CAD 图需要对该图进行另存旧版(命令:LCJB),保存为t3 格式才能导入Sketchup中编辑,否则墙、柱、门窗等实体将不会导入Sketchup中,因为天正5.5 及以上版本中的实体是官方自己开发的,在纯CAD 中亦不能显示。 3)然后在CAD命令栏里输入PU,进行全部清理,清理多余图层、图块,然后确认。反复检查和清理,一定要见图层数降到最少。 4)选中所有图形,使用天正菜单里的工具——统一标高(命令:TYBG),防止导入Sketchup 出现悬空的线条或者在AUTOCAD2005、2006输入命令change,选择物体,输入P,修改标高,按E键,就能找到相应标高修改。 5)最后的CAD 图就只剩下一种形式的线及必要的图层,例如门、窗、台阶等需要建模的图层。 2,导入Sketchup 中 1)导入注意右边的选项设置,单位选毫米 2)开始描线成面(或者使用插件自动生成面) 线宽可以修改细一点5.0(窗口——显示设置——轮廓——改成1) 6.0(窗口——风格——显示设置——轮廓——改成1) 现在我们说说用天正打开cad之后导入sketchup成三维模型,很多人都在为这件事苦恼,不知道怎么办,找了很久都没有找到好的方法,有时候用天天正做完图纸之后导入sketchup,甚至有好多的东西都很杂论甚至不显示,今天我就为大家解决这个苦恼的问题: 一,首先打开天正 二,打开一个cad文件,或者用天正用几个简单的图形 三,怎么存储,才能是cad图形导入sketchup时候是三维模型,在天正的设置里面有一个文件布图,打开文件布图在子菜单里面里面有一个图形导出,点击图形导出,选择三维模型 具体步骤是:设置---图形布图---图形导出--选择存为三维模型 四,最后我们用sketchup导入看一下 注意事项:墙的高度之类的参数可以在天正里面设置好了

photoshop cs6 各种工具的安装方法

很多朋友下载了非常漂亮的笔刷或功能强大的滤镜,但是不懂如何安装, 今天夜猫教育带你一步步完成这些工具的安装。 1.Photoshop画笔的安装 Photoshop画笔的默认格式为.abr,如果你下载的是压缩包,请解压之后再安装。 方法一: 最简单的方法——双击abr画笔文件,或将abr文件拖动到Photoshop中,画笔将会自动安装到Photoshop中。 有些版本的PS不能用这种方法安装,如果你用这种方法不能成功安装,那么请往下看。 方法二: 选择画笔工具,在画布上点击右键,然后点击弹出菜单右上角的齿轮图标,选择“载入画笔”,然后找到你的abr文件,点击载入即可。 方法三: 点击菜单编辑>预设>预设管理器,在预设类型中选择画笔,点击右侧的“载入”按钮,然后找到你的abr文件,点击载入即可。 2.Photoshop滤镜的安装 Photoshop滤镜的默认格式为.8bf(也有些滤镜为exe格式的可执行文件),如果你下载的是压缩包,请解压之后再安装。 方法一: 如果你下载的滤镜为exe的可执行文件,那么只需双击文件,将滤镜安装到Photoshop的安装目录下的即可(如:C:\Program Files\Adobe\Photoshop CS6\Plug-ins)。 方法二: 如果你下载的滤镜为.8bf格式,则直接将.8bf文件复制到Photoshop的滤镜目录下即可(一般路径为X:\Program Files\Adobe\Photoshop CS6\Plug-ins或X:\Program Files\Adobe\Photoshop CS6\Plug-ins\fileters,其中X为你安装PS的盘符)。 3.Photoshop动作的安装 Photoshop画笔的默认格式为.atn,如果你下载的是压缩包,请解压之后再安装。 方法: 点击菜单窗口>动作,调出动作面板,或使用快捷键Alt+F9。点击动作面板右上角的向下箭头图标,在弹出的菜单中选择“载入动作”,找到你下载的.atn文件,点击载入即可。 4.Photoshop渐变的安装 Photoshop渐变工具的默认格式为.grd,如果你下载的是压缩包,请解压之后再安装。 方法一: 选择渐变工具,在画布上点击右键,然后点击弹出菜单右上角的齿轮图标,选择“载入渐变”,然后找到你的.grd文件,点击载入即可。 方法二: 点击菜单编辑>预设>预设管理器,在预设类型的下拉菜单中选择渐变,点击右侧的“载入”按钮,然后找到你的.grd文件,点击载入即可。 5.Photoshop样式的安装 Photoshop样式的默认格式为.asl,如果你下载的是压缩包,请解压之后再安装。 方法一: 点击菜单窗口>样式,调出样式面板。点击样式面板右上角的向下箭头图标,在弹出的菜单中选择“载入样式”,找到你下载的.asl文件,点击载入即可。 方法二: 点击菜单编辑>预设>预设管理器,在预设类型的下拉菜单中选择样式,点击右侧的“载入”

相关主题
文本预览
相关文档 最新文档