当前位置:文档之家› 测量电压、电流、功率的数字表的设计制作开题报告

测量电压、电流、功率的数字表的设计制作开题报告

测量电压、电流、功率的数字表的设计制作开题报告
测量电压、电流、功率的数字表的设计制作开题报告

编号:

毕业设计开题报告

题目:测量电压、电流、功率的

数字表的设计制作

院(系):机电工程学院

专业:电气工程及其自动化

学生姓名:张永发

学号:1200120333

指导教师单位:机电工程学院

姓名:郭福力

职称:工程师

题目类型:?理论研究?实验研究?工程设计?工程技术研究?软件开发

2016年03月01日

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

实验4三相电路电压、电流及功率的测量(精)

实验四三相电路电压、电流的测量 一.实验目的 1、练习三相负载的星形联接和三角形联接; 2、了解三相电路线电压与相电压,线电流与相电流之间的关系; 3、了解三相四线制供电系统中,中线的作用; 4、观察线路故障时的情况; 5、学会用功率表测量三相电路功率的方法。 二.原理说明 1.三相电压、电流的测量 电源用三相四线制向负载供电,三相负载可接成星形(又称‘Y’形)或三角形 (又称‘Δ’形)。 当三相对称负载作‘Y’形联接时,线电压UL是相电压UP的倍,线电流IL等于相电流IP,即:UL=3UP, IL=IP,流过中线的电流IN=0;作‘Δ’形联接时,线电压UL等于相电压UP,线电流IL是相电流IP的3倍,即:IL=IP, UL=UP 不对称三相负载作‘Y’联接时,必须采用‘YO’接法,中线必须牢固联接,以保证三相不对称负载的每相电压等于电源的相电压(三相对称电压)。若中线断开,会导致三相负载电压的不对称,致使负载轻的那一相的相电压过高,使负载遭受损坏,负载重的一相相电压又过低,使负载不能正常工作;对于不对称负载作‘Δ’ 联接时,IL≠3Ip,但只要电源的线电压UL对称,加在三相负载上的电压仍是对称的,对各相负载工作没有影响。 本实验中,用三相调压器调压输出作为三相交流电源,用三组白炽灯作为三相负载,线电流、相电流、中线电流用电流插头和插座测量。 2.三相功率的测量 (1)三相四线制供电,负载星形联接(即Y0接法) 对于三相不对称负载,用三个单相功率表测量,测量电路如图4-1所示,三个单相功率表的读数为W1、W2、W3,则三相功率P=W1+W2+W3, 这种测量方法称为三瓦特表法;对于三相 对称负载,用一个单相功率表测量即可,若功率表的读数为W ,则三相功率P=3W,称为一瓦特表法。 (2)三相三线制供电 三相三线制供电系统中,不论三相负载是否对称,也不论负载是‘Y’接还是‘Δ’接,

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

初中九年级(初三)物理 第一章电流、电压和功率的测量

第一章 电流、电压和功率的测量 1.1 电流的测量 1.1.1 电流表直接测量法 一、直流电流表 1、动圈式磁电系测量机构(“表头”)的工作原理——图1-1-1 “动圈”(即可以转动的线圈)由弹性支承悬挂在永久磁铁产生的磁场中,当 动圈中流过电流i 时,动圈在磁场中受到的电磁力矩为: Ci bNLBi bF M c === 动圈转动时受到弹性支承作用的弹性力矩为: θk M k = 动圈转动时受到与转动角速度成正比的阻尼力矩 dt d D M d θ = c M 驱使动圈转动,而d M 、k M 则阻止线圈转动,因此根据转动定律有: 2 2dt d J M M M d k c θ =-- 将c M 、d M 、k M 代入上式得到动圈式磁电系测量机构的动态方程: Ci k dt d d dt d J =++θθθ22 若信号电流为直流I ,在达到稳定之后,上式左边前两项均为零,于是得到动圈式磁电系测量机构的静态方程: 0CI S I k θ= = 式中S 0=C/k 称为动圈测量机构的静态灵敏度 2、以动圈式磁电系测量机构为“表头”的非电量测量仪表――图0-2(a) 图0-2(a)中传感器的灵敏度(输出电量与输入非电量之比)为S 1,测量电路把 传感器输出的电量转换成直流电流,其灵敏度(输出直流电流与输入电量之比)为S 2,则表头指针偏转角θ与被测非电量x 成线性正比关系。 S x θ=? 式中 012S S S S =为图0-2(a)所示非电量x 的电测仪表的总灵敏度。 2、多量程电流表原理――图1-1—3(b) 单量程交流电流表配接分流电阻即构成多量程交流电流表 若电流表有三挡量程:1I 、2I 、3I ,则量程分流电阻1R 、2R 、3R 满足如下关系式:

Verilog数字钟数电实验报告

专业:电子信息工程班级:电信1305班日期:2015.5.5 第3次实验 姓名:康健组别: 6 指导教师:成绩: 实验课题:EDA多功能数字钟 1、已知条件 Quartus II软件、FPGA实验开发装置。 2、主要技术指标 以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分。 3、实验用仪器 PC、FPGA开发板、示波器、稳压电源等 4、电路工作原理 所谓的时钟,其实本质上就是计数器。以开发板上的晶振时钟作为时间基准。 然后通过分频模块(计数器)进行分频,得到1Hz的脉冲信号作为秒的信号脉冲,然后用模60的计数器构成秒的计数单元。每记60下就自动清零且产生进位信号。将这个进位信号作为分的计数器的使能信号,其中,分计数器也是模为60的计数器。这里的计数器都是由模10和模6 组成的BCD码的计数器。个位和十位分别是一个四位的数字。同理,每记满60,分计数器就会产生一个进位信号,这个进位信号作为小时的使能信号。小时的计数器就是模24的BCD计数器。注意,这里的整个电路都是用1HZ的频率作为时间脉冲的,也就是说,这个电路是同步时序的电路。通过使能,来控制各个部分的时序逻辑。将小时和分的使能信号在总是为有效电平和下一级进位信号做选择,就是时钟调时状态和正常计时状态的切换。当在调时状态的时候,时钟每完成一个周期,无论是分钟还是小时,就向前加1,。最后,将分钟和小时通过译码器连接到数码管。将秒直接连接到LED灯,完成整个工程的基本功能(扩展功能见选作的实验报告)。 5、电路设计与调试 1、模10计数器的设计

2、模6计数器的设计 3、模60计数器设计(分、秒计数) 4、模24计数器设计(小时计数)

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

测量电功率实验的目的和原理

?测量电功率实验的目的和原理: 1. 实验目的: 1)测定小灯泡额定电压下的电功率; 2)测定小灯泡略高于额定电压下的电功率; 3)测定小灯泡略低于额定电压下的电功率。 2. 实验原理:P=UI 应测量的物理量:小灯泡两端的电压U,和通过的电流I。 3. 实验方法:伏安法 ?伏安法测小灯泡的电功率:

?伏安法测电阻与测功率的异同点: ?补充: (1)伏安法测功率。滑动变阻器的作用是保护电路和控制灯泡两端电压。多次测量的目的是为了测量不同电压下小灯泡的实际功率,不 是为了多次测量求平均值。所以设计的表格中没有“平均功率”这一栏。 (2)伏安法测定值电阻时,滑动变阻器的作用是保护电路和改变电路中的电流和电阻两端电压,因电阻阻值不变,这是为了多测几组对 应的电压、电流值,多测几次电阻值,用多次测量求平均值来减小误差。 (3)伏安法测小灯泡电阻时,由于灯丝电阻大小与温度有关。在不同的工作状态下,小灯泡温度不同。灯丝电阻也不同。因此测灯丝电 阻时滑动变阻器的作用是为了保护电路和改变电路中的电流,不是为了多次测量求平均值。 ?“伏安法测功率”中常见故障及排除: “伏安法测功率”是电学中的重要实验。同学们在实验过程中,容易出现一些实验故障,对出现的实验故障又束手无策,因此,能够找出实验故障是做好实验的“法宝”。下面就同学们在实验中易出现的故障从以下几方面进行分析。 1.器材选择不当导致故障 故障一:电流表、电压表指针偏转的角度小。 [分析原因]①电压表、电流表量程选择过大;②电源电压不高。 [排除方法]选择小量程,如果故障还存在,只有调高电源电压。实验中若电表指针偏转的角度太小,估读电流或电压时由于视觉造成的误差将增大。为了减小实验误差,选择量程时既不能使电表指针超过最大刻度,又要考虑到每次测量时应该使电表指针偏过刻度盘的中线。 2.器材连接过程中存在故障 故障二:电压表、电流表指针反向偏转。 [分析原因]两表的“+”“-”接线柱接反了,当电流从“一”接线柱流入时,指针反向偏转,甚至出现指针打弯、损坏电表的情况。 [排除方法]将两电表的“+”“-”接线柱对调。

多功能数字钟开题报告

毕业设计(论文)开题报告

1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。

电工-02 电压和功率测量

实验-02 电压和功率测量:电压丢哪儿了? 一、实验目的: 1.功率表的使用:如何用功率表测量二端器件的有功功率? 2.进一步熟悉调压器、指针电压表、电流表 3.掌握功率测量方法,用功率表测量或测量电压、电流计算出功率 4学习、掌握仪表符号代表的意义.0.5级表的误差为0.5% 5.特别提醒.注意自耦调压器、功率表的接法,电压表内阻的影响…… 二、实验设备: 自耦调压器、指针电压表、电流表、功率表、40W灯泡 三、实验线路和原理 1、按图接好线路,电压调至V=250V(以电压表测试为准), 2、先用指针表150V档测U1,U2记录测量结果入表 3、重复上述实验过程,这次改用数字万用表测量电压,数据计入表中。 四、实验内容小结 1.指针电压表精度为1级,内阻R0=5000Ω(150V),300V档R0=20000(Ω) 2.指针表150V档测量灯泡电压时有什么现象?用数据解释“电压丢哪儿了”? 与电压表并联的灯泡亮度明显小于另一灯泡,被测灯泡的电压小于125V;U1+U2<250; 按照串联分压理论,两个相同的灯泡,各自应分得125V电压,按照上节课所测40W白炽灯的伏安特性曲线可得,125V时电阻为900Ω,而电压表的内阻为5000Ω,根据并联电阻求法可得=762Ω,并联后与40W灯泡分压可得:U1=

3.数字万用表、指针电压表哪个精度更高?不用万用表怎么测准灯泡上的电压? 万能电压表的精度更高,因为万能电压表的电阻相对于白炽灯来说很大,根据并联电阻求法可知,当一电阻相对于另一电阻很大时则并联电阻和小电阻阻值差不多,对分压影响不打。而指针电压表电阻相当于白炽灯不是特别大,则电阻偏小,分压也会变小。故不如万能电压表精确。 用一个已知阻值的大电阻(远远大于待测电阻)与灵敏电流计串联后再与待测电阻并联,所测电压即为电阻阻值与灵敏电流计电流示数乘积。

南昌大学数字钟实验报告

数 字 钟 实 验 报 告 课 程: 专业班级: 学生姓名: 学 号: 2014年 12月 22 日

多功能数字钟设计 一、设计任务 设计一多功能数字钟并进行仿真以及PCB制版。 二、设计要求 基本功能:准确计时,以数字形式显示时、分、秒的时间。 扩展功能:校正时间 PCB制版要求:尽量单面板、尺寸为200mm*150mm、焊孔0.5mm等三、设计方案 数字钟设计方案基本框图如下: 时的设计: 时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00,01,…,22,23,00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00时00分00秒。这样,可利用反馈置数或反馈清零法进行二十四进制计数。 分、秒的设计: 分和秒计数器都是模M=60的计数器。计数规律为00,01,…,58,59,00,…。它们的个位都是十进制,而十位则是六进制。 译码显示: 将计数器输出的4位二进制代码,译码显示出相应的十进制数状态,可利用译码显示器和数码管实现。 校时电路: 校时可用1s脉冲快速校正,也可手动产生单次脉冲慢校正至时或者分计数器。可设置变量来控制实现校正或正常计数。 四、Multisim仿真与分析

1、设计方案与模块框图 2、各子模块电路设计及原理说明 (1)振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 一般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。 图1 ②分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成

多功能数字钟设计报告

摘要 该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。 关键字:Quartus 数字钟多功能仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-hol ding and belling on the hour. And then validated the design on the experimental board.In addition,additional functions like displaying and reseting the week,setting alarm ,stopwatch, and belling with music make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求说明 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (8) 3)动态显示模块 (10) 4)校分与校时模块 (11) 5)清零模块 (12) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (13) 1)星期模块 (13) 五.调试、编程下载 (14) 六.实验中出现问题及解决办法 (14) 七.实验收获与感受 (15) 八.参考文献 (16)

电信号测量(功率电压电流)

产品特征 显示被测量的变化趋势、读数方便采用夹持式安装方式 技术参数 99T1-A 、V 外型及安装尺寸 96C-A 、V ,96T -A 、V 和96L-Hz 外型及安装尺寸 单位: mm

72L-COS φ外型及安装尺寸 96L-W 、var 外型及安装尺寸 96L-COS φ外型及安装尺寸 72C-A 、V ,72T -A 、V 和72L-Hz 外型及安装尺寸 72L-W 、var 外型及安装尺寸

99T1-A 、V 接线图 接线图 96C-A 、V ,72C-A 、V 接线图

注:带‘*’ 标记的端子为电流进线端96T -A 、V ,72T -A 、V 接线图 96L-Hz ,72L-Hz 接线图 72L-W 、var 接线图

96L-W 、var 接线图 96L-COS Φ接线图 注:带* 标记的端子为电流进线端 注:带*标记的端子为电流进线端

量程参数表(详细信息请参阅固定式直接作用模拟指示电测量仪表附表汇总) 选型指南 备注 1:表内72T -A 和96T -A 交流电流表的所有规格均为2倍电流的过载型 2:交流电流表中,99型的直接接入电流范围为0.5A ~20A ,72型与96型的直接接入电流范围为 1A ~5A 和10A ~100A 3:表中交流电流表0.5A ~20A 用于99T1-A 型, 准确度等级为2.5级,交流电压表99T1-V 型准确 度等级为2.5级 (72型和96型电压表为1.5级)订货示例: 如客户需要99型指针板表,输入方式为交流,类型为电流表,则相对应的订货编码为:99T1A*

功率表测功率

功率表如何测功率 F0403014 眭博聪 5040309405 摘要:分析功率表的结构,工作原理及其应用 关键字:功率,功率因素cosφ 前言:在学到三相电路功率测量时,用到了一个新的测量仪表——功率表。但是对于其工作原理,它是怎么可以直接显示功率的大小,为什么要这样接线不甚了解,也为此查阅了些资料。本文介绍了功率表的结构,工作原理等情况。 正文: 功率表是测量直流,交流电路中功率的机械式指示电表。直流电路和交流电路中的功率分别为P=UI。 直流电路和交流电路中的功率分別为P=UI和P=UIcosφ﹐U,I 为负载电压和电流,φ为电流相量与相量间夹角﹐cosφ为功率因数。虽然各系电表的测量机构都有可能构成测量功率的电表﹐但最适于制成功率表的是电动系电表和铁磁电动系电表的测量机构。 功率表的结构: 由于功率表的种类很多,这里只以单相电动系功率表进行分析。 单相电动系功率表的接线原理见图。 这种电表测量机构的转动力矩M与I1I2cosθ有关﹐I1为静圈电流,I2为动圈电流﹐θ为两 电流相量间夹角。使负载电流I通过静圈﹐即I1=I。将负载电压加于动圈及与动圈串联的大电阻R上﹐则动圈中电流I2=U/R。这样θ=φ﹐而转动力矩M=kI1I2cosφ﹐这反映了功率P的大小。 改变与动圈串联的电阻值﹐可改变电压量程﹐将静圈的两线圈由串联改为并联﹐可扩大电流量程。功率表的表盘一般按额定电压与额定电流相乘﹐并使功率因数cosφ=1來标值。如电压量程为300V﹑电流量程为5A的功率表﹐表盘的满刻度值为300×5×1=1500W。也有制成功率因数为 0.1的低功率因数功率表﹐其满刻度值为300×5×0.1=150W。功率表的量程不能简单地只提功率量程﹐而应同時指明电压﹑电流量程及功率因数数值。 功率表的接线: 功率表的正确接法必须遵守“发电机端”的接线规则。 1)功率表标有“*”号的电流端必须接至电源的一端,而另一端则接至负载端。电流线

南理工EDA2多功能数字钟设计实验报告(蒋立平)——优秀

EDAⅡ实验报告 --多功能数字钟 学院专业: 学生学号: 指导老师:蒋立平 交稿时间:2012年3月25日

摘要 本实验借助于quartusⅡ软件设计一个多功能的数字时钟,具有24小时计时、星期显示、保持、清零、校分校时校星期、整点报时等基本功能,并在此基础上添加了闹钟、音乐闹钟、秒表等附加功能。同时,留有万年历的接口可以方便的进行扩展。.利用quartusⅡ进行相应的设计、仿真、调试,最后下载到SmartSOPC实验系统上验证设计的正确性。 关键词:多功能数字时钟,quartusⅡ,计时,星期显示,整点报时,闹钟,秒表 ABSTRACT This experiment is to design a multifunctional digital clock with quartus Ⅱ.The multifunctional digital clock has varities of the functions like 24-hour timer,week,keeping,clearing zero,adjusting time and chime on integral hour .It also include additional functions such as alarm clock,stopwatch and so on.At the sametimes,it can be added calendar.we designed and simulated with quartusⅡ.Finally downloaded it to the experiment platform to test. Key words:multifunctional digital clock,quartusⅡ,time,week,chime on integral hour, alarm clock,stopwatch

多功能数字钟的课程设计报告

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 2015年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

三相电路的相序、电压、电流及功率测量(精)

专业:电气工程及自动化 姓名: 实验报告 学号:日期:11月3日地点:东三 -202 课程名称:电路与电子技术实验Ⅰ指导老师:李玉玲成绩: __________________ 实验名称:实验13 三相电路的相序、电压、电流及功率测量实验类型:_______ 同组学生姓名:__ 一、实验目的和要求 1、学会三相电源相序的判定方法。

2、学会三相负载Y形和△形联结的连接方法,掌握这两种接法下,线电压和相电压、线电流和相电流的测量方法。 3、熟悉一瓦表法、二瓦表法测量三相电路的有功和无功功率的原理与接线方法。 4、掌握功率表的接线和使用方法。 二、实验内容和原理 原理: 1、确定三相电源相序的仪器称为相序指示器,它实际上是一个星形连接的不对称负载, 一项中接有电容C,另两相分别接入大小相等的电阻R。 所以把图示负载电路接到对称三相电源上,且认定接电容的一相为U相,那么,其余两 相中相电压较高的一相必是V相,相电压较低的一相是W相。V、W两项电压的相差程度取 决于电容的数值。一般为便于观测,V、W两相用相同的白炽灯代替R。 2、将三相负载各项的一端连接成中线点N,A、B、C分别接至三相电源,即为Y形联结。 这是相电流等于线电流。如果电源为对称三相电源,在负载对称时,线电压有效值是相电压有 效值的倍,相位超前角30度,即。这时各相电流也对称,电源中性点与负 载中性点之间的电压为零。即使用中性线将两中性点连接起来,中性线电流也等于零。如果负载不对称,即中性线就有电流流过,这时如将中性线断开,三相负载的各相相电压将不再对称。各相灯泡会出现亮暗不一致的现象,这就是中性点位移引起各相电压不等的结果。 3、△接法时,线电压等于相电压,但线电流为两相电流的矢量和,若负载对称,则 。 4、三相电路功率测量 (1)一瓦表法测有功功率

西安交大数电数字钟实验报告

交通大学数字电子技术实验报告 数字钟设计 姓名:** 学院:**学院 班级:**22 学号:212******5

一、实验名称 基于Verilog HDL设计的多功能数字钟 二、试验任务及要求 实验要求以Verilog HDL语言为手段,设计多功能数字钟。多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的围为0~23时。 在实验中为了显示与编写方便,该设计采用一个位24位二进制码[23:0]cnt 记录时间,每四位记录一个数,从高到低分别为时针十位、时针个位、分针十位、分针个位、秒针十位、秒针个位。 实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟50Mhz进行分频。 关于调整时间功能,该设计采用四个按钮调整对应位的数值,从而调整时间。 三、实验条件 该实验以Verilog HDL语言为手段,以Xilinx ISE Design Suite 13.4_1软件实现源程序的综合与仿真,并用BASYS2开发板作为目标器件。 四、设计过程 1.列写多功能数字钟设计--层次结构图

2.拟定数字钟的组成框图,在Xilinx ISE Design Suite 1 3.4_1软件中,使用Verilog语言输入,采用分层次分模块的方法设计电路; 3.设计各单元电路并进行仿真; 4.对数字钟的整体逻辑电路图,选择器件,分配引脚,进行逻辑综合; 5.下载到Basys2实验平台上,实际测试数字钟的逻辑功能。 五、Verilog代码 module clock(input clk, input en, input key1, input key2, input key3, input key4, output sec, output wire[7:0] seg, output wire[3:0] digit ); wire[3:0] num0,mum1,num2,num3; disp u0(clk,num0,mum1,num2,num3,seg,digit); clk_gen u1(clk,en,key1,key2,key3,key4,sec,num0,mum1,num2,num3); endmodule

单片机数字电压表开题报告书

XX航空航天大学金城学院毕业设计(论文)开题报告 题目基于单片机的数字电压表的设计系部自动化系 专业自动化 学生XX 高英鑫学号2011032307 指导教师侯瑞职称讲师 毕设地点XX航空航天大学金城学院

2014年11 月22 日

个取出Ub进行比较后,将数码寄存器输出的二进制码按序排列就会等于被测电压值。 图1 逐次逼近比较型数字电压表的原理框图 (2)电压-时间变换型。所谓电压-时间变换型是指测量时将被测电压值转换为时间间隔△t,电压越大,△t越大,然后按△t大小控制定时脉冲进行计数,其计数值即为电压值。电压-时间变换型又称为V-T型或斜坡电压式,其原理框图如图2所示。控制器ST是电压表的指挥部,它每隔一定时间(例如每隔2s)就发出一个启动脉冲,一方面利用启动脉冲打开控制门T,让等间隔的标准时间脉冲序列能通过控制门进入十进制计数器;另一方面启动脉冲触发斜坡电压发生器,使它开始产生一个直线上升的斜坡电压,在斜坡电压上升的过程中,斜坡电压不断与被测电压在电压比较器中进行比较,当斜坡电压等于被测电压Ux时,电压比较器即发出关门信号,将T门关闭。这时十进制计数器所保留的数就是T门从开启到关闭的时间间隔中,通过T门的标准间脉冲的个数。被测电压Ux越大,斜坡电压从零上升到被测电压Ux,值所需要的时间、T门开启时间也越长,计数器所计数值也越大,利用数码显示器将计数器所计数值显

示出来,所计的数就是通过T门的脉冲个数。适当选择标准脉冲发生器的重复频率和斜坡斜率,就能使通过T门的脉冲个数与被测电压值相等,显示器上便可以直接显示出被测电压值。 图2 V-T型数字电压表原理框图 (3) 电压-频率变换型。所谓电压-频率变换型是指测量时将被测电压值转换为频率值,然后用频率表显示出频率值,即能反映电压值的大小。这种表又称为V-f型,图3为V-f型数字电压表原理框图。 图中有两个振荡器,HO为固定频率振荡器,AO为可控频率振荡器。利用被测电压直接控制AO的输出电压频率,使被测电压越大,频率就越高,经混频器混频之后,输出的频率也越高;当被测电压为零时,让可控频率振荡器AO输出的频率等于HO的频率,经混频器混频之后,输出频率为零。这样就能通过可控频率振荡器,把被测电压值转换为频率值,然后通过计数显示出来。只要适当选择AO和HO的振荡频率,就能够使显示器读数直接等于被测电压值。

多功能数字钟课程设计报告

电子技术课程设计报告书课题名称 姓名 学号 院、系、部 专业 指导教师 2016年6月12日

一、设计任务及要求: 用中小规模集成芯片设计并制作多功能数字钟,具体要求如下:1、准确及时,以数字形式显示时(00~23)、分(00~59)、秒(00~59)的时间。 2、具有校时功能。 指导教师签名: 2016年6月日 二、指导教师评语: 指导教师签名: 2016年6月日 三、成绩 指导教师签名: 2016年6月日

多功能数字钟课程设计报告 1 设计目的 一、设计原理与技术方法: 包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明; 软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。1、电路工作原理分析与原理图 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。又由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。由以上分析可得到原理框图如下图 图1实验原理框图 2、元器件选择与参数计算 (1)晶体振荡电路:产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。 相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。 所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。从有关手册中,可查得C1、C2均为20pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20MΩ。 (2)分频器电路:分频器电路将32768Hz的高频方波信号经32768(152)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。该电路可通过CD4060与双D触发器74LS74共同实现。 (3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。计数器可以使用十进制的74LS160。 (4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。译码器可以使用CD4511。

数电实验——多功能数字钟

大连理工大学本科实验报告题目:多功能数字钟 课程名称:《数字电路课程设计》 学院(系):电子信息与电气工程学部 专业:自动化 班级:电自0801 学生姓名: 学号:200881142 完成日期:2011年7月20日 成绩: 2011 年7 月20 日

题目:多功能数字钟 1 设计要求 (1)一个具有“时”,“分”,“秒”的十进制数字显示(小时从00~23) 计时器。 (2)具有手动校时,校分的功能。 (3)定时与闹钟功能,能在设定的时间发出闹铃声 (4)能整点报时。要求从59分54秒起报时,每隔2秒发出低音,,连续 3次,在整点要求是高音。 2 设计分析及系统方案设计 系统总体结果 系统设计要求说明: (1)该秒表用模24、模60计数器实现24小时计时 (2)在调节闹钟时不影响数字钟的正常走表; (3)在调节闹钟时要通过数码管显示出; 3系统以及模块硬件电路设计 根据上述给出的系统总体结构框图,给出系统硬件电路设计,并作必要的说明和理论计算。由于“数字电路课程设计”课程采用实验箱完成,没有学时涉及有关FPGA芯片的使用,因此有关FPGA芯片的部分可以用“FPGA最小系统”

模块框代替。其余接口部分(按键,LED以及数码管,各种接口等需要设计电路以及参数)。 下载时选择的开发系统模式以及管脚定义 4 系统的VHDL设计 系统的各个部分如何用VHDL设计出来的应该有说明,包括论述以及真值表或者状态图等。 要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述;1)用原理图实现的,需包含以下内容: (1)系统原理图

(2)主要模块或符号说明; 主要模块:模60计数器,模24计数器, 2)用VHDL语言实现的 (1) 秒计数器(模60计数器) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity m_601 is port(clk: in std_logic; clk_1: out std_logic; --clk_1表进位 qh,ql:out std_logic_vector(3 downto 0) –qh,ql表示十位与个位); end; architecture a of m_601 is signal qqh,qql: std_logic_vector(3 downto 0); signal tmp: std_logic; begin process(clk) begin if clk'event and clk='1' then if qql=9 then qql<="0000"; if qqh=5 then

相关主题
文本预览
相关文档 最新文档