当前位置:文档之家› 钢梁计算

钢梁计算

钢梁计算
钢梁计算

汇编语言实现十进制加减计算器

课程设计 题目十进制数加减计算器学院计算机科学与技术 专业计算机科学与技术 班级计算机0808班 姓名何爽 指导教师袁小玲 2010 年12 月31 日

课程设计任务书 学生姓名:何爽专业班级:计算机0808班 指导教师:袁小玲工作单位:计算机科学与技术学院 题目: 十进制数加减计算器的设计 初始条件: 理论:学完“汇编语言程序设计”、“课程计算机概论”、“高级语言程序设计”和“数字逻辑”。 实践:计算机学院科学系实验中心提供计算机和软件平台。如果自己有计算机可以在其上进行设计。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)十进制数加减计算器的设计。 (2)程序应有操作提示、输入和输出,界面追求友好,最好是菜单式的界面。 (3)设计若干用例(测试数据),上机测试程序并分析(评价)所设计的程序。 (4)设计报告格式按附件要求书写。课程设计报告书正文的内容应包括: 在正文第一行写课程设计题目; 1.需求说明(要求、功能简述)或问题描述; 2.设计说明(简要的分析与概要设计); 3.详细的算法描述; 4.源程序与执行结果(含测试方法和测试结果); 5.使用说明; 6.总结,包括设计心得(设计的特点、不足、收获与体会)和展望(该 程序进一步改进扩展的设想)。 时间安排: 设计时间一周:周1:查阅相关资料。 周2:系统分析,设计。 周3~4:编程并上机调试。 周5:撰写课程设计报告。 设计验收安排:20周星期五8:00起到计算机学院科学系实验中心进行上机验收。 设计报告书收取时间:20周的星期五下午5:00之前。 指导教师签名: 2010年12月31日 系主任(或责任教师)签名: 2010年12月31日

卡西欧计算器用法

卡西欧财务计算器用法 1、开机ON 2、关机SHIFT+AC=OFF 3、设置与记忆(存储)清除 SHIFT+9=CLR Clear?清除吗? SETUP :EXE 清除设置请按EXE Memory :EXE 清除记忆(存储)请按EXE All :EXE 清除所有请按EXE Reset All?重新设置所有吗? [EXE]:Yes 选择“是的”,请按EXE [ESC]:Cancel 选择“取消”,请按ESC Clear Setup?清除设置吗? [EXE]:Yes 选择“是的”,请按EXE [ESC]:Cancel 选择“取消”,请按ESC Clear Memory?清除记忆(存储)吗? [EXE]:Yes 选择“是的”,请按EXE [ESC]:Cancel 选择“取消”,请按ESC Complete!更改工作完成! Press[AC]Key 请按AC 键 4、Replay 是计算器指令状态移动方向键上下左右均可移动,按左箭头指令光标跑到最右边,按右箭头指令光标跑到最左边。 5、Solve 不能按EXE 键执行的,都是按这个键来求解。 6、EXE 四则运算的执行、输入的确认 7、ESC 取消返回上一个界面当计算器没反应时都是按这个键 8、DEL 删除刚刚输入的一个数字 9、AC 清除刚刚输入的一行数字 10、∧乘方例如5乘5次方,输入5∧5即可 11、开x 次方 开方shift+∧= () 23x = 12、开机出现的就是加减乘除四则运算的模式COMP ,中间用其他模式后,如果需要用该模式计算,请直接按COMP 键调用这个模式。 13、计算器的屏幕小,提供的功能多,因此,往往一个键提供两个功能,一个功能直接按,另一个功能要按SHIFT 后再按该键才行。如55的计算,直接输入5,再按∧,再输入5,然后按EXE 即运算出结果。又如324的计算,按SHIFT ,然后按∧, 调出,向左移动方向键使光标到达x 的前面,输入3,右移光标到达根号里面,输入24,再按EXE 即运算出结果。乘几次方的后面如果不再连续做加减乘除运算,后面的反括号可以不加,反之一定要加,否则,55×6,就变成了55×6。

计算器的使用方法 计算器小知识

计算器的使用方法计算器小知识 普通的计算器如得力计算器与晨光计算器的一些普通功能相信大家都会用,大家经常用来加减乘除,快速计算结果。有些小小的功能键能事半功倍,而这些功能可能有很多人从未使用过,石家庄办公用品批发网小编找了些资料,又根据自己实际经验,把那些个功能键的作用及使用方法给整理了一下。 M+:把目前显示的值放在存储器中,是计算结果并加上已经储存的数,(如屏幕无"M"标志即存储器中无数据,则直接将显示值存入存储器)。 M-:从存储器内容中减去当前显示值,是计算结果并用已储存的数字减去目前的结果,如存贮器中没有数字,按M-则存入负的显示屏数字。 MS:将显示的内容存储到存储器,存储器中原有的数据被冲走。 MR:按下此键将调用存储器内容,表示把存储器中的数值读出到屏幕,作为当前数值参与运算。 MC:按下时清除存储器内容(屏幕"M"标志消除)。 MRC:第一次按下此键将调用存储器内容,第二次按下时清除存储器内容。 GT:GT=Grand Total 意思是总数之和,即按了等号后得到的数字全部被累计相加后传送到GT存储寄存器。按GT后显示累计数,再按一次清空。 MU(Mark-up and Mark-down键):按下该键完成利率和税率计算,详见例3; CE:清除输入键,在数字输入期间按下此键将清除输入寄存器中的值并显示"0",可重新输入; AC:是清除全部数据结果和运算符。 ON/C:上电/全清键,按下该键表示上电,或清除所有寄存器中的数值。 使用举例: 例1. 先按32×21,得数是672。然后按下“M+”,这样就可以把这个答案保存下来,然后我们按“8765-”,再按“MR”就可以把刚才的672调出来了,最后我们就可以得到答案8093。 例2. 在计算时使用记忆键能够使操作简便,例如计算5.45×2+4.7×3可以这样做:按5、.、4、5、×、2、=,会显示出10.9,按M+(记忆10.9),按4、.、7、×、3、=,会显示出14.1,按M+(记忆14.1),按MR 会显示出25(呼出记忆的两个数相加后的结果)。 例3、 MU(Mark-up and Mark-down键):按下该键完成利率和税率计算. 关于"MU"的加减乘除四项功能用法如下: 乘法A×B MU 相当于A+(A+B%) 用途1、知道本年数额与增长率,求预计明年数额。如今年销售收入100,预计增长率为2.5%,求明年数。按100 X 2.5 MU 即出结果为102.5 用途2、计算增值税,由不含税价计算含税价。如不含税销售收入3500元,计算含税销售收入,假定税率为17%,按3500 X 17 MU 即出结果4095 减法A-B MU 相当于(A-B)/B 的百分比 用途知道当年收入与去年收入求增长率。如今年3000,去年2800,计算增长率,按3000-2800 MU 即出结果7.142857 当然结果是百分比 除法A÷B MU 相当于A/(1-B%) 用途1、求成本为120,销售利润率为25%,求销售收入,按120÷25 MU 即出结果160 (看清了,不是成本利润率,成本利润率公式是A x(1+B%)) 用途2、计算消费税组成计税价格,由不含税计算含税价,如不含税1200,适用税率30%,计算含税,按

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

计算器隐藏功能

所有隐藏模式调出前请先进入异常模式: 注:【】代表注释 ( )代表第二功能键 首先打开计算器电源(ON) 1. shift 2. + (Pol) 3. 1 4. shift 5. ")" ( , ) 6. 0 7. ) 【前7步最后显示为"Pol(0,1)"】 8. = 9. 狂按分数线,直到按到顶不动为止【似乎是7到8个】 10. 按= (显示Syntax ERROR 不要管它), AC,左 11. 1 12. 幂【在方向键下面,就是X上面有个小白框的键】 13. = 14. AC 15. 向上键 16. AC 17. 向左键三次 18. DEL【删掉1,出现“r=1,φ=0”】 19. 【光标在最前面】按一下分数线 20. 分数线上面输入1,下面也输入1 【其实不需要一定要是1,只要分子分母一样就可以了】 21. = 22. AC 此时,已是异常模式,所有隐藏模式的前提 进入异常模式后就可以实行升级了(异常模式的界面和初始模式一模一样,如何鉴定?——随便输入一个运算,如“1+2”按 = ,如果没有显示结果,那你就成功进入异常模式啦,当然要想看到结果的话就按“S<=>D”。。。) CMPLX模式(复数计算模式): 接下刚刚进的异常模式: 注:【】代表注释 1. Ans、Ans、Ans、Ans、Ans、Ans、Ans、Ans、sin(、sin(、sin(、…… 【就是按8下“Ans”键,然后无数下“sin(”键直到出现 Syntax ERROR 不要管它】 2. 按“AC” 【如果屏幕变暗为正常现象,请手动调节亮度】 3. 按SHIFT+9(CLR)+1(Setup)+=(Yes) 按AC 然后按 SHIFT+9(CLR)+2(Memory)+ =(Yes) 按AC 4. 打出“r”,具体方法就是按“根号” + “根号” + “根号” + “根号” + “根

实验十进制加减法计数器

实验1 十进制加减法计数器 实验地点:电子楼218 实验时间:2012年10月19日指导老师:黄秋萍、陈虞苏 实验要求:设计十进制加减法计数器,保留测试程序、设计程序、仿真结果 1.设计程序: module count(EN,CLK,DOUT,F,RST); input EN,CLK,F,RST; output [3:0]DOUT; reg [3:0]DOUT; always@(posedge CLK) begin :abc if(EN) if(!RST) if(F) begin :a DOUT=DOUT+1; if(DOUT==10) DOUT=0; end //END A else begin :b DOUT=DOUT-1; if(DOUT==15) DOUT=9; end else DOUT=0; else DOUT=DOUT; end endmodule 2.测试程序 `timescale 10ns/1ns module test_count; wire [3:0] DOUT; reg EN,F,RST,CLK; count M(EN,CLK,DOUT,F,RST); initial begin :ABC CLK=0; EN=0;

RST=1; F=1; #100 EN=1; #200 RST=0; #1500 F=0; #3000 $stop; end always #50 CLK=~CLK; initial $monitor("EN=%b,F=%b,RST=%b,DOUT%D",EN,F,RST,DOUT); endmodule 3.测试结果 # EN=0,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT 0 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=1,RST=0,DOUT 6 # EN=1,F=1,RST=0,DOUT 7 # EN=1,F=1,RST=0,DOUT 8 # EN=1,F=1,RST=0,DOUT 9 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 4 # EN=1,F=0,RST=0,DOUT 3 # EN=1,F=0,RST=0,DOUT 2 # EN=1,F=0,RST=0,DOUT 1 # EN=1,F=0,RST=0,DOUT 0 # EN=1,F=0,RST=0,DOUT 9 # EN=1,F=0,RST=0,DOUT 8 # EN=1,F=0,RST=0,DOUT 7 # EN=1,F=0,RST=0,DOUT 6 # EN=1,F=0,RST=0,DOUT 5

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

计算器上的GT、CE、AC、MU、MC、MR、M-、M+都是什么意思

计算器上的GT、CE、AC、MU、MC、MR、M-、M+都是什么意思 ON/AC:上电/全清键,按下该键表示上电,或清除所有寄存器中的数值。(all clear) AC:清除键,在数字输入期间,第一次按下此键将清除除存储器内容外的所有数值。(all clear) CE:清除输入键,在数字输入期间按下此键将清除输入寄存器中的值并显示"0"。(clear enter) 平方根√:显示一个输入正数的平方根。 M+:把目前显示的值放在存储器中,中断数字输入。(memory+) M-:从存储器内容中减去当前显示值,中断数字输入。(memory-) MRC:第一次按下此键将调用存储器内容,第二次按下时清除存储器内容。(memory recall clear) MR:调用存储器内容。(memory recall) MS:将显示的内容存储到存储器。(memory save) MC:清除存储器内容。(memory clear) GT:按下GT键,传送GT存储寄存器内容到显示寄存器,按AC或C 键消除GT显示标 志。 MU:(Mark-up and Mark-down键),按下该键完成利率和税率计算。M+就是在原有存储信息的基础上进行加法运算。

M-就是在原有存储信息的基础上进行减法运算。 还有个MR键,MC键,是进行记忆运算时需要用到的。MR是结果。MC是清除。 举例: 1+1=2 M+(M=2) M+2(M=4) M-2(M=2) MR(M=2),显示屏上显示为2 M+2(M=4) MC MC是清除记忆空间,MR是查看记忆空间,M+是把当前数据累加到记忆空间里,M-是把当前数据与记忆空间里的数相减,然后更新记忆空间!后 面内个没用过! 按M+将显示屏上的数字存入存贮器,按M-则从存贮器中减去显示屏上的数字。如存贮器中没有数字,按M-则存入负的显示屏数字。 计算器上的M+、M-键可以分别记忆每次运算后的结果,MR键可以显示记忆的最后结果。 M+:表示将显示值加入存储器中(如屏幕无"M"标志即存储器中无数据,则直接将显示值存入存储器)。 M-:表示从存储器的数值中减去显示值后并重新存储。 MR:表示把存储器中的数值读出到屏幕,作为当前数值参与运算。

加法计算器

十进制加法计算器设计报告 目录 1、摘要----------------------------------------------------------------------2 2、设计任务和要求--------------------------------------------------------2 3、单片机简要原理--------------------------------------------------------2 3.1 AT89C51的介绍------------------------------------------------3 3.2 单片机最小系统------------------------------------------------6 3.3 七段共阳极数码管---------------------------------------------7 4、硬件设计-----------------------------------------------------------------7 4.1 键盘电路的设计-------------------------------------------------8 4.2 显示电路的设计-----------------------------------------------9 5、软件设计------------------------------------------------------------10 5.1 系统设计------------------------------------------------------10 5.2 显示与按键设计---------------------------------------------12 6、系统调试.-------------------------------------------------------------13 6.1系统初始状态的调试------------------------------------------13 6.2键盘输入功能的调试-----------------------------------------14 6.3系统运算功能的调试------------------------------------------16 7、心得体会与总结---------------------------------------------------------16 参考文献---------------------------------------------------------------------17 附录1 系统硬件电路图--------------------------------------------------18 附录2 程序清单-----------------------------------------------------------19 -----------

简支钢梁计算书

简支钢梁计算书

单跨钢梁计算书 一. 设计资料 示意图如下: 恒载下的荷载示意图如下: 活载下的荷载示意图如下:

长度:12600mm,截面: H-500*200*10*16-Q235 左端支座为:竖向铰接;右端支座为:竖向铰接; ------------------------------------------------------------------------------------------ 荷载序号 荷载 1 工况D-整体Z轴-均布q:-6kN/m 2 工况L-整体Z轴-均布q:-2kN/m ------------------------------------------------------------------------------------------ 计算时叠加自重; 采用《钢结构设计规范GB 50017-2003》进行验算; 2轴的挠度限值为:L/400; 3轴的挠度限值为:L/400;

2轴的刚度限值为:200; 3轴的刚度限值为:200; 强度计算净截面系数: 0.98 绕2轴的计算长度为:5000mm; 绕3轴的计算长度为:12600mm; 采用楼面梁标准组合验算挠度; 是否进行抗震设计: 否 腹板屈曲后强度: 不考虑 加劲肋设置间距: 0 - 不设置 二. 验算结果一览 验算项验算工况结果限值是否通过 受弯强度 1.35D+0.98L 118.724 215 通过 2轴受剪强度 1.35D+0.98L 16.5207 125 通过 整稳 1.35D+0.98L 152.776 215 通过 翼缘宽厚比 1.2D+1.4L 5.125 13 通过 腹板高厚比 1.2D+1.4L 44.2 80 通过 2轴挠度D+L 31.0741 31.5 通过

普通计算器的用法

计算器使用说明 一、memory类 读记忆储存MR(memory recall):是把存储信息映射到正在运算的显示屏上。清除记忆储存MC(memory clear):就是清除存储信息。有的计算器没有这两个键,只有一个MRC,其实是这两个键的结合,按一次是MR,再按一次是MC。记忆储存增加M+(memory):就是在原有存储信息的基础上进行加法运算。记忆储存减少M-(memory-):就是在原有存储信息的基础上进行减法运算。 最好用的就是M+,M-和MR,操做一下下面的题,一看结果就知道它的用途了。 1.先看上面的例子4*3-5*2。 具体到计算器上,可以有几种按法。 一是:42/M-/MR/END(先算4*3=12,存之;然后算5*2,减存之,就是将存储器的数减去它,其实也相当于前面加了一个负号然后存进去) 二是:53/-MR/=/END(先算5*2=10,存之;然后算4*3=12,但是不存,就放在显示器上,然后按减号,再按MR把存储器中的10调出来参加计算) 显然这两种按法的本质区别在于:第一种的计算过程在存储器里,最后按MR把结果调出来即可;第二种是先存一个中间结果在存储器里,然后用的时候调出来,计算的过程是在显示器里。 2.输入10,然后连续按M+(10次)结果是100。省去了10+10+10....操作的麻烦。M+键是连续加的记忆键 3.第三题:输入1000/按M+一次/输入10/连续按M-(5次)。结果是950。M-

是连续减的记忆键。 二、GT键 总记忆储存GT键(grand total):按下GT键,传送GT存储寄存器内容到显示寄存器,按AC或C键消除GT显示标志。 GT键就是当你开启了GT这个功能的时候,你可以累加。 1、第一题:5*3+15*2=85 开启GT建,在显示屏上会显示GT 输入5×3=/输入15×2=/GT。结果是85 三、发票税MU键 发票税MU键(mark-up and mark-down):按下该键完成利率和税率计算。 关于"MU"的用法如下: 加价计算:100+100×5%=105 (100×5 MU=105) 变化率:100=80+80×25% (100-80 MU=25) 标价计算(成本为120,利润的25%的标价):就卖的价格为得: 120÷25 MU=160 ,利润则只需按多一下MU即可:为40(利润) UP 5/4 CUT就是小数的处理办法 UP:进一法保留小数位数 5/4:四舍五入保留小数位数 CUT:舍去法保留小数位数 F 3 2 0 ADD2就是如何保留小数 F:不改变原来输入 3、2、0:保留3、2、0位小数

简支钢梁计算书

单跨钢梁计算书 一. 设计资料 示意图如下: 恒载下的荷载示意图如下: 活载下的荷载示意图如下: 长度:12600mm,截面:H-500*200*10*16-Q235

左端支座为:竖向铰接;右端支座为:竖向铰接; ------------------------------------------------------------------------------------------ 荷载序号荷载 1 工况D-整体Z轴-均布q:-6kN/m 2 工况L-整体Z轴-均布q:-2kN/m ------------------------------------------------------------------------------------------ 计算时叠加自重; 采用《钢结构设计规范GB 50017-2003》进行验算; 2轴的挠度限值为:L/400; 3轴的挠度限值为:L/400; 2轴的刚度限值为:200; 3轴的刚度限值为:200; 强度计算净截面系数: 0.98 绕2轴的计算长度为:5000mm; 绕3轴的计算长度为:12600mm; 采用楼面梁标准组合验算挠度; 是否进行抗震设计: 否 腹板屈曲后强度: 不考虑 加劲肋设置间距: 0 - 不设置 二. 验算结果一览 验算项验算工况结果限值是否通过 受弯强度 1.35D+0.98L 118.724 215 通过2轴受剪强度 1.35D+0.98L 16.5207 125 通过整稳 1.35D+0.98L 152.776 215 通过翼缘宽厚比 1.2D+1.4L 5.125 13 通过 腹板高厚比 1.2D+1.4L 44.2 80 通过2轴挠度D+L 31.0741 31.5 通过 2轴长细比- 114.679 200 通过 3轴长细比- 62.469 200 通过 三. 受弯强度验算 最不利工况为:1.35D+0.98L 最不利截面位于第1个分段离开首端6300mm处 绕3轴弯矩:M3= 223.248kN·m 计算γ: 截面塑性发展系数 γ2=1.2 γ3=1.05 验算强度: 考虑净截面折减: W nx=1790.852cm3 W ny=209.524cm3 A n=110.005cm2 σ1=σ2=223.248/1790.852/1.05×103=118.724N/mm2 σ3=σ4==-(223.248)/1790.852/1.05×103=-118.724N/mm2 118.724≤215,合格!

十进制加法计数器 (3)

EDA技术与VHDL实验报告 一实验题目:十进制加法计数器 二实验目的: 设计带有异步复位和同步时钟使能的十进制加法计数器。 三实验内容: 编写十进制加法计数器的VHDL实现程序;通过电路仿真和硬件验证,了解变量的使用方法,以及“(OTHERS=>X)”的使用方法。四实验原理: 十进制加法计数器的VHDL描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT (CLK,RST,EN : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10; ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK, RST, EN) V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器异步复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN = '1' THEN --检测是否允许计数(同步使能) IF CQI < 9 THEN CQI := CQI + 1; --允许计数检测是否小于9 ELSE CQI := (OTHERS =>'0'); --大于9,计数值清零 END IF; END IF; END IF; IF CQI = 9 THEN COUT <= '1'; --计数大于9,输出进位信号 ELSE COUT <= '0'; END IF; CQ<= CQI; --将计数值向端口输出END PROCESS; END behav;

最新卡西欧计算器用法

卡西欧计算器用法

卡西欧财务计算器用法 1、开机ON 2、关机SHIFT+AC=OFF 3、设置与记忆(存储)清除 SHIFT+9=CLR Clear?清除吗? SETUP:EXE清除设置请按EXE Memory:EXE清除记忆(存储)请按EXE All:EXE清除所有请按EXE Reset All?重新设置所有吗? [EXE]:Yes选择“是的”,请按EXE [ESC]:Cancel选择“取消”,请按ESC Clear Setup?清除设置吗? [EXE]:Yes选择“是的”,请按EXE [ESC]:Cancel选择“取消”,请按ESC Clear Memory?清除记忆(存储)吗? [EXE]:Yes选择“是的”,请按EXE [ESC]:Cancel选择“取消”,请按ESC Complete!更改工作完成! Press[AC]Key请按AC键 4、Replay是计算器指令状态移动方向键上下左右均可移动,按左箭头指令光标跑到最右边,按右箭头指令光标跑到最左边。 5、Solve不能按EXE键执行的,都是按这个键来求解。

6、EXE 四则运算的执行、输入的确认 7、ESC 取消返回上一个界面当计算器没反应时都是按这个键 8、DEL 删除刚刚输入的一个数字 9、AC 清除刚刚输入的一行数字 10、∧乘方例如5乘5次方,输入5∧5即可 11、开x 次方 开方shift+∧= ()23 x = 12、开机出现的就是加减乘除四则运算的模式COMP ,中间用其他模式后,如果需要用该模式计算,请直接按COMP 键调用这个模式。 13、计算器的屏幕小,提供的功能多,因此,往往一个键提供两个功能,一个功能直接按,另一个功能要按SHIFT 后再按该键才行。如55的计算,直接输入5,再按∧,再输入5,然后按EXE 即运算出结果。又如324的计算,按SHIFT ,然后按∧,调出 ,向左移动方向键使光标到达x 的前面,输入3,右移光标到达根号里面,输入24,再按EXE 即运算出结果。乘几次方的后面如果不再连续做加减乘除运算,后面的反括号可以不加,反之一定要加,否则,55×6,就变成了55×6。 14.如果刚刚做过计算,需要利用其计算结果,只要把类似光标的蓝色线条移动到需要输入该数值的地方,键ANS (答案)键,然后再键EXE (执行—确认)即可。

简易加减计算器设计(数电)

电子技术课程设计电气与信息工程学院建筑电气与智能化专业题目:简易加减计算器设计 姓名:徐雪娇 学号:094412110 指导教师:祁林

简易加减计算器设计 一、设计目的 1、在前导验证性认知实验基础上,进行更高层次的命题设计实验. 2、在教师指导下独立查阅资料、设计、特定功能的电子电路。 3、培养利用数字电路知识,解决电子线路中常见实际问题的能力. 4、积累电子制作经验,巩固基础、培养技能、追求创新、走向实用。 5、培养严肃认真的工作作风和严谨的科学态度。 二、设计要求 1、用于两位一下十进制的加减运算。 2、以合适方式显示输入数据及计算结果。 三、总体设计 第一步置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。 第二步通过开关选择加(减)运算方式; 第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选 择减运算方式,则所置数送入减法运算电路运算; 第四步前面所得结果通过另外两个七段译码器显示。即: 方案一 通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数, 译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位 加法器74LS283的A4-A1端,74LS283的B4-B1端接四个2输入异或门。四个 2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B, 通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1 异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283 的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B 完成减法运算。由于译码显示器只能显示0-9,所以当A+B>9时不能显示,我们 在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001) 时加上6(0110)2,产生的进位信号送入译码器U12来显示结果的十位,U11 2 显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不 会出现上述情况,用一片芯片U11即可显示结果。 方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四 位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低 位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低

casio fx82ES计算器使用方法

Casio fx-82ES 计算器使用方法 开机 on 关机 shift AC 一、普通统计方式 mode 1 (可以计算普通四则运算等) 二、单变量:(可以计算平均数、标准差、方差) 1、清除内容:shift 9( CLR) 2( memory) =( Yes) 2、统计 mode 2(STAT) 1(1-VAR ) 3、输入数据 :X1 = X2 = … Xn = 4、AC Shift 1(stat) 5(var) 2(X ) = X 5、AC Shift 1(stat) 4(sum) 1(2X ∑) = 2X ∑ 6、AC Shift 1(stat) 4(sum) 2(X ∑) = X ∑ 7、 AC Shift 1(stat) 5(var) 1(n X σ) = n X σ (标准差) X 2 = n X σ2(方差) 三、双变量:(可以计算积差相关系数r ) 1、清除内容:shift 9( CLR) 2( memory) =( Yes) 2、统计 mode 2(STAT) 2(A+BX ) 3、输入数据 (可以用方向键移动光标位置输入X 和Y 两组变量): X1 = Y1 = X2 = Y2 = … … Xn = Yn = 4、AC Shift 1(stat) 4(sum) → 2X ∑ 、X ∑ 、2Y ∑、Y ∑

5、AC Shift 1(stat) 5(var) →n X 、 n X σ(标准差)、 Y 、n Y σ(标准差) 6、AC Shift 1(stat) 6(minmax) → min(X Y) max (X Y) 7、AC Shift 1(stat) 7(Reg) → Y=A+BX 中的A 、B 、r (相关系数)

十进制加法计数器

十进制加法器设计 1课程设计的任务与要求 课程设计的任务 1、综合应用数字电路知识设计一个十进制加法器。了解各种元器件的原理及其应用。 2、了解十进制加法器的工作原理。 3、掌握multisim 软件的操作并对设计进行仿真。 4、锻炼自己的动手能力和实际解决问题的能力。 5、通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握十进制加法器的设计方法。 课程设计的要求 1、设计一个十进制并运行加法运算的电路。 2、0-9十个字符用于数据输入。 3、要求在数码显示管上显示结果。 2十进制加法器设计方案制定 加法电路设计原理 图1加法运算原理框图 如图1所示 第一步 置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。

第二步将置入的数运用加法电路进行加法运算。 第三步前面所得结果通过另外两个七段译码器显示。即: 加法运算方式,则(1000)2+(0110)2=(1110)2 十进制8+6=14 并在七段译码显示出14。运算方案 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U8和U9分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入,通过加法器74LS283完成两个数A和B的相加。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。 3十进制加法器电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。由于一位8421BCD 数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示,所以设计的时候有如下的真值表:

相关主题
文本预览
相关文档 最新文档