当前位置:文档之家› 电子工程师必须懂的高频pcb设计emiemc等设计技巧

电子工程师必须懂的高频pcb设计emiemc等设计技巧

电子工程师必须懂的高频pcb设计emiemc等设计技巧
电子工程师必须懂的高频pcb设计emiemc等设计技巧

电子工程师必须懂的高频PCB设计、EMI、EMC等设计技

数字器件正朝着高速、低耗、小体积、高抗干扰性的方向发展,这一发展趋势对印刷电路板的设计提出了很多新要求。作者根据多年在硬件设计工作中的经验,总结一些高频布线的技巧,供大家参考。

(1)高频电路往往集成度较高,布线密度大,采用多层板既是布线所必须的,也是降低干扰的有效手段。

(2)高速电路器件管脚间的引线弯折越少越好。高频电路布线的引线最好采用全直线,需要转折,可用45°折线或圆弧转折,满足这一要求可以减少高频信号对外的发射和相互间的耦合。

(3)高频电路器件管脚间的引线越短越好。

(4)高频电路器件管脚间的引线层间交替越少越好。所谓“引线的层间交替越少越好”是指元件连接过程中所用的过孔(Via)越少越好,据测,一个过孔可带来约0.5 pF的分布电容,减少过孔数能显著提高速度。

(5)高频电路布线要注意信号线近距离平行走线所引入的“交叉干扰”,若无法避免平行分布,可在平行信号线的反面布置大面积“地”来大幅度减少干扰。同一层内的平行走线几乎无法避免,但是在相邻的两个层,走线的方向务必取为

相互垂直。

(6)对特别重要的信号线或局部单元实施地线包围的措施,即绘制所选对象的外轮廓线。利用此功能,可以自动地对所选定的重要信号线进行所谓的“包地”处理,当然,把此

功能用于时钟等单元局部进行包地处理对高速系统也将非

常有益。

(7)各类信号走线不能形成环路,地线也不能形成电流

环路。

(8)每个集成电路块的附近应设置一个高频去耦电容。(9)模拟地线、数字地线等接往公共地线时要用高频扼

流环节。在实际装配高频扼流环节时用的往往是中心孔穿有导线的高频铁氧体磁珠,在电路原理图上对它一般不予表达,由此形成的网络表(netlist)就不包含这类元件,布线时就

会因此而忽略它的存在。针对此现实,可在原理图中把它当做电感,在PCB元件库中单独为它定义一个元件封装,布

线前把它手工移动到靠近公共地线汇合点的合适位置上。(10)模拟电路与数字电路应分开布置,独立布线后应单点连接电源和地,避免相互干扰。

(11)DSP、片外程序存储器和数据存储器接入电源前,应加滤波电容并使其尽量靠近芯片电源引脚,以滤除电源噪声。另外,在DSP与片外程序存储器和数据存储器等关键部分

周围建议屏蔽,可减少外界干扰。

(12)片外程序存储器和数据存储器应尽量靠近DSP芯片放置,同时要合理布局,使数据线和地址线长短基本保持一致,尤其当系统中有多片存储器时要考虑时钟线到各存储器的时钟输入距离相等或可以加单独的可编程时钟驱动芯片。对于DSP系统而言,应选择存取速度与DSP相仿的外部存储器,不然DSP的高速处理能力将不能充分发挥。DSP 指令周期为纳秒级,因而DSP硬件系统中最易出现的问题是高频干扰,因此在制作DSP硬件系统的印制电路板(PCB)时,应特别注意对地址线和数据线等重要信号线的布线要做到正确合理。布线时尽量使高频线短而粗,且远离易受干扰的信号线,如模拟信号线等。当DSP周围电路较复杂时,建议将DSP及其时钟电路、复位电路、片外程序存储器、数据存储器制作成最小系统,以减少干扰。

(13)当本着以上原则,熟练设计工具的使用技巧以后,经过手工布线完成后,高频电路为了提高系统的靠性和可生产性,一般都需要利用高级的PCB仿真软件进行仿真。

限于篇幅本文不对具体的仿真做详细介绍,但给大家的建议是如果有条件一定要对系统做仿真,这里给对几个基本的概念。

给大家做一个基本的说明。

什么是电磁干扰(EMI)和电磁兼容性(EMC)?

电磁干扰(Electromagnetic InteRFerence)有传导干扰和辐

射干扰两种。传导干扰是指通过导电介质把一个电网络上的信号耦合(干扰)到另一个电网络。辐射干扰是指干扰源通过空间把其信号耦合(干扰)到另一个电网络。在高速PCB 及系统设计中,高频信号线、集成电路的引脚、各类接插件等都可能成为具有天线特性的辐射干扰源,能发射电磁波并影响其他系统或本系统内其他子系统的正常工作。

什么是信号完整性(signal integrity)?

信号完整性是指信号在信号线上的质量。信号具有良好的信号完整性是指当在需要的时候,具有所必需达到的电压电平数值。差的信号完整性不是由某一单一因素导致的,而是板级设计中多种因素共同引起的。主要的信号完整性问题包括反射、振荡、地弹、串扰等。常见信号完整性问题及解决方法见表2。

什么是反射(reflection)?

反射就是在传输线上的回波。信号功率(电压和电流)的一部分传输到线上并达到负载处,但是有一部分被反射了。如果源端与负载端具有相同的阻抗,反射就不会发生了。源端与负载端阻抗不匹配会引起线上反射,负载将一部分电压反射回源端。如果负载阻抗小于源阻抗,反射电压为负,反之,如果负载阻抗大于源阻抗,反射电压为正。布线的几何形状、不正确的线端接、经过连接器的传输及电源平面的不连续等因素的变化均会导致此类反射。

什么是串扰(crosstalk)?

串扰是两条信号线之间的耦合,信号线之间的互感和互容引起线上的噪声。容性耦合引发耦合电流,而感性耦合引发耦合电压。PCB板层的参数、信号线间距、驱动端和接收端的电气特性及线端接方式对串扰都有一定的影响。

什么是过冲(overshoot)和下冲(undershoot)?

过冲就是第一个峰值或谷值超过设定电压——对于上升沿是指最高电压而对于下降沿是指最低电压。下冲是指下一个谷值或峰值。过分的过冲能够引起保护二极管工作,导致过早地失效。过分的下冲能够引起假的时钟或数据错误(误操作)。

什么是振荡(ringing)和环绕振荡(rounding)?

振荡的现象是反复出现过冲和下冲。信号的振荡和环绕振荡由线上过度的电感和电容引起,振荡属于欠阻尼状态而环绕振荡属于过阻尼状态。信号完整性问题通常发生在周期信号中,如时钟等,振荡和环绕振荡同反射一样也是由多种因素引起的,振荡可以通过适当的端接予以减小,但是不可能完全消除。

什么是地电平面反弹噪声和回流噪声?

在电路中有大的电流涌动时会引起地平面反弹噪声(简称为地弹),如大量芯片的输出同时开启时,将有一个较大的瞬态电流在芯片与板的电源平面流过,芯片封装与电源平面的

电感和电阻会引发电源噪声,这样会在真正的地平面(0V)上产生电压的波动和变化,这个噪声会影响其他元器件的动作。负载电容的增大、负载电阻的减小、地电感的增大、同时开关器件数目的增加均会导致地弹的增大。

由于地电平面(包括电源和地)分割,例如地层被分割为数字地、模拟地、屏蔽地等,当数字信号走到模拟地线区域时,就会产生地平面回流噪声。同样电源层也可能会被分割为2.5V,3.3V,5V等。所以在多电压PCB设计中,地电平面的反弹噪声和回流噪声需要特别关心。

在时域(time domain)和频域(frequency domain)之间有什么不同?

时域(time domain)是以时间为基准的电压或电流的变化的

过程,可以用示波器观察到。它通常用于找出管脚到管脚的延时(delays)、偏移(skew)、过冲(overshoot)、下冲(undershoot)以及建立时间(settling times)。

频域(frequency domain)是以频率为基准的电压或电流的变化的过程,可以用频谱分析仪观察到。它通常用于波形与FCC和其他EMI控制限制之间的比较。

什么是阻抗(impedance)?

阻抗是传输线上输入电压对输入电流的比值(Z0=V/I)。当一个源送出一个信号到线上,它将阻碍它驱动,直到2*TD时,源并没有看到它的改变,在这里TD是线的延时(delay)。

什么是建立时间(settling time)?

建立时间就是对于一个振荡的信号稳定到指定的最终值所

需要的时间。

什么是管脚到管脚(pin-to-pin)的延时(delay)?

管脚到管脚延时是指在驱动器端状态的改变到接收器端状

态的改变之间的时间。这些改变通常发生在给定电压的50%,最小延时发生在当输出第一个越过给定的阈值(threshold),最大延时发生在当输出最后一个越过电压阈值(threshold),测量所有这些情况。

什么是偏移(skew)?

信号的偏移是对于同一个网络到达不同的接收器端之间的

时间偏差。偏移还被用于在逻辑门上时钟和数据达到的时间偏差。

什么是斜率(slew rate)?

Slew rate就是边沿斜率(一个信号的电压有关的时间改变的

比率)。I/O 的技术规范(如PCI)状态在两个电压之间,这就是斜率(slew rate),它是可以测量的。

什么是静态线(quiescent line)?

在当前的时钟周期内它不出现切换。另外也被称为'stuck-at' 线或static线。串扰(Crosstalk)能够引起一个静态线在时钟

周期内出现切换。

什么是假时钟(false clocking)?

假时钟是指时钟越过阈值(threshold)无意识地改变了状态(有时在VIL 或VIH之间)。通常由于过分的下冲(undershoot)或串扰(crosstalk)引起。

什么是IBIS模型?

IBIS(Input/Output Buffer Information Specification)模型是一种基于V/I曲线的对I/O BUFFER快速准确建模的方法,是反映芯片驱动和接收电气特性的一种国际标准,它提供一种标准的文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高频效应的计算与仿真。

IBIS本身只是一种文件格式,它说明在一标准的IBIS文件中如何记录一个芯片的驱动器和接收器的不同参数,但并不说明这些被记录的参数如何使用,这些参数需要由使用IBIS 模型的仿真工具来读取。欲使用IBIS进行实际的仿真,需要先完成以下四件工作。(1)获取有关芯片驱动器和接收器的原始信息源;(2)获取一种将原始数据转换为IBIS 格式的方法;(3)提供用于仿真的可被计算机识别的布局布线信息;(4)提供一种能够读取IBIS和布局布线格式并能够进行分析计算的软件工具。

IBIS是一种简单直观的文件格式,很适合用于类似于Spice (但不是Spice,因为IBIS文件格式不能直接被Spice工具读取)的电路仿真工具。它提供驱动器和接收器的行为描述,

但不泄漏电路内部构造的知识产权细节。换句话说,销售商可以用IBIS模型来说明它们最新的门级设计工作,而不会给其竞争对手透露过多的产品信息。并且,因为IBIS是一个简单的模型,当做简单的带负载仿真时,比相应的全Spice三极管级模型仿真要节省10~15倍的计算量。

IBIS提供两条完整的V-I曲线分别代表驱动器为高电平和低电平状态,以及在确定的转换速度下状态转换的曲线。V -I曲线的作用在于为IBIS提供保护二极管、TTL图腾柱驱动源和射极跟随输出等非线性效应的建模能力。

什么是SPICE模型?

SPICE是Simulation Program with Integrated Circuit Emphasis的缩写。

硬件调试技巧

硬件调试时应该注意的一些问题。如在硬件调试前,应先对电路板进行细致的检查,观察有无短路或断路情况(由于DSP的PCB板布线一般较密、较细,这种情况发生的概率还是比较高的)。加电后,应用手感觉是否有些芯片特别热。如果发现有些芯片烫得厉害,需立即掉电重新检查电路。排除故障后,接着就应检查晶体是否振荡,复位是否正确可靠。然后用示波器检查DSP的CLK-OUT1和CLK-OUT2引脚的信号是否正常,若正常则表明DSP本身工作基本正常。(1)保证电源的稳定可靠在DSP硬件系统调试前,应确

保给实验板供电的电源有良好的恒压恒流特性。尤其要注意的是,DSP的入口电压应保持在5.0V±0.05V。电压过低,则通过JTAG接口向Flash写入程序时,会出现错误提示;电压过高,则会损坏DSP芯片。

(2)利用仿真软件排除硬件故障在完成对电路板的检查后,就可通过仿真软件来调试程序。由于仿真时,程序代码下载到目标系统中的片外程序存储器,因而通过仿真软件可以比较容易地检查出一些硬件故障。在上电后,若仿真软件调试窗口始终无法调入程序,则有两种可能:① DSP芯片引脚存在断路或短路现象;②DSP 芯片损坏。倘若是第一次利用仿真软件调试程序,此时应对实验板断电,仔细检查DSP芯片各引脚的焊接情况。如果软件调试窗口曾正确调入程序,则可能是DSP芯片损坏。此时,可通过检测实验板的整板阻抗进一步判断DSP芯片是否受损。若整板阻抗急剧下降,可将给DSP芯片供电的电源线割断,检测DSP芯片的电阻。如果软件调试窗口可调入程序,但调入的程序局部出错,如对片外程序存储器或数据存储器操作的代码变成.word xxxx,此时可能是片外程序存储器或数据存储器出现故障。应仔细检查存储器是否存在短路或虚焊,若不存在则应进一步判断存储器是否受损。

PCB板布线技巧

PCB板布线技巧 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: 众所周知的是在电源、地线之间加上去耦电容。 尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) 用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有在PCB上不共地的,这由系统设计来决定。 3 信号线布在电(地)层上 在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量,成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是保留地层的完整性。 4 大面积导体中连接腿的处理

PCB设计技巧疑难解析

2、如何避免高频干扰? 避免高频干扰的基本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加ground guard/shunt traces 在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。 3、在高速设计中,如何解决信号的完整性问题? 信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。解决的方式是靠端接(termination)与调整走线的拓朴。 4、差分布线方式是如何实现的? 差分对的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层 (side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side 实现的方式较多。 5、对于只有一个输出端的时钟信号线,如何实现差分布线? 要用差分布线一定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出端的时钟信号是无法使用差分布线的。

6、接收端差分线对之间可否加一匹配电阻? 接收端差分线对间的匹配电阻通常会加, 其值应等于差分阻抗的值。这样信号品质会好些。 7、为何差分对的布线要靠近且平行? 对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值, 此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。若两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timingdelay)。 8、如何处理实际布线中的一些理论冲突的问题 1. 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 2. 晶振是模拟的正反馈振荡电路, 要有稳定的振荡信号, 必须满足loop gain 与phase的规范,而这模拟信号的振荡规范很容易受到干扰, 即使加ground guard traces 可能也无法完全隔离干扰。而且离的太远, 地平面上的噪声也会影响正反馈振荡电路。所以, 一定要将晶振和芯片的距离进可能靠近。

射频电路PCB的设计技巧

射频电路PCB的设计技巧 摘要:针对多层线路板中射频电路板的布局和布线,根据本人在射频电路PCB设计中的经验积累,总结了一些布局布线的设计技巧。并就这些技巧向行业里的同行和前辈咨询,同时查阅相关资料,得到认可,是该行业里的普遍做法。多次在射频电路的PCB设计中采用这些技巧,在后期PCB的硬件调试中得到证实,对减少射频电路中的干扰有很不错的效果,是较优的方案。 关键词:射频电路;PCB;布局;布线 由于射频(RF)电路为分布参数电路,在电路的实际工作中容易产生趋肤效应和耦合效应,所以在实际的PCB设计中,会发现电路中的干扰辐射难以控制,如:数字电路和模拟电路之间相互干扰、供电电源的噪声干扰、地线不合理带来的干扰等问题。正因为如此,如何在PCB的设计过程中,权衡利弊寻求一个合适的折中点,尽可能地减少这些干扰,甚至能够避免部分电路的干涉,是射频电路PCB设计成败的关键。文中从PCB的LAYOUT角度,提供了一些处理的技巧,对提高射频电路的抗干扰能力有较大的用处。 1 RF布局 这里讨论的主要是多层板的元器件位置布局。元器件位置布局的关键是固定位于RF路径上的元器件,通过调整其方向,使RF路径的长度最小,并使输入远离输出,尽可能远地分离高功率电路和低功率电路,敏感的模拟信号远离高速数字信号和RF信号。 在布局中常采用以下一些技巧。 1.1 一字形布局 RF主信号的元器件尽可能采用一字形布局,如图1所示。但是由于PCB板和腔体空间的限制,很多时候不能布成一字形,这时候可采用L形,最好不要采用U字形布局(如图2所示),有时候实在避免不了的情况下,尽可能拉大输入和输出之间的距离,至少1.5 cm 以上。

PCB设计技巧问答题

PCB 设计技巧百问 分类: 科学和工程技术 | 标签:pcb,电路,仪器 PCB 设计技巧百问 本人浅尝PCB时遇到的经典文章,特转载于此。 1、如何选择PCB板材? 选择PCB 板材必须在满足设计需求和可量产性及成本中间取得平衡点。设计需求包含电气和机构这两部分。通常在设计非常高速的PCB 板子(大于GH z的频率)时这材质问题会比较重要。例如,现在常用的FR-4材质,在几个GHz 的频率时的介质损(dielectric loss)会对信号衰减有很大的影响,可能就不合用。就电气而言,要注意介电常数(dielectric constant)和介质损在所设计的频率是否合用。 2、如何避免高频干扰? 避免高频干扰的基本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加ground guard/shunttraces 在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。 3、在高速设计中,如何解决信号的完整性问题? 信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线

的拓朴(topology)架构等。解决的方式是靠端接(termination)与调整走线的拓朴。 4、差分布线方式是如何实现的? 差分对的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side 实现的方式较多。 5、对于只有一个输出端的时钟信号线,如何实现差分布线? 要用差分布线一定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出端的时钟信号是无法使用差分布线的。 6、接收端差分线对之间可否加一匹配电阻? 接收端差分线对间的匹配电阻通常会加,其值应等于差分阻抗的值。这样信号品质会好些。 7、为何差分对的布线要靠近且平行? 对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值, 此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。若两线忽远忽近,差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。 8、如何处理实际布线中的一些理论冲突的问题 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 晶振是模拟的正反馈振荡电路, 要有稳定的振荡信号, 必须满足loop gain 与phase的规范, 而这模拟信号的振荡规范很容易受到干扰,即使加ground guard traces可能也无法完全隔离干扰。而且离的太远,地平面上的噪声也会影响正反馈振荡电路。所以,一定要将晶振和芯片的距离进可能靠近。 确实高速布线与EMI 的要求有很多冲突。但基本原则是因EMI 所加的电阻电容或ferrite bead,不能造成信号的一些电气特性不符合规范。所以, 最好先用安排走线和PCB 叠层的技巧来解决或减少EMI的问题,如高速信 号走内层。最后才用电阻电容或ferrite bead的方式, 以降低对信号的伤害。

PCB设计工程师最基本的技巧

PCB设计工程师最基本的技巧 布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout得以实现并验证,由此可见,布线在高速PCB设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。主要从直角走线,差分走线,蛇形线等三个方面来阐述。 1.直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: C=61W(Er)1/2/Z0 在上式中,C就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr指介质的介电常数,Z0就是传输线的特征阻抗。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数:ρ=(Zs-Z0)/(Zs+Z0),一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到正常的阻抗,整个发生阻抗变化的时间极短,往往在10ps之内,这样快而且微小的变化对一般的信号传输来说几乎是可以忽略的。 很多人对直角走线都有这样的理解,认为尖端容易发射或接收电磁波,产生EMI,这也成为许多人认为不能直角走线的理由之一。然而很多实际测试的结果显示,直角走线并不会比直线产生很明显的EMI。也许目前的仪器性能,测试水平制约了测试的精确性,但至少说明了一个问题,直角走线的辐射已经小于仪器本身的测量误差。 总的说来,直角走线并不是想象中的那么可怕。至少在GHz以下的应用中,其产生的任何诸如电容,反射,EMI等效应在TDR测试中几乎体现不出来,高速PCB设计工程师的重点还是应该放在布局,电源/地设计,走线设计,过孔等其他方面。当然,尽管直角走线带来的影响不是很严重,但并不是说我们以后都可以走直角线,注意细节是每个优秀工程师必备的基本素质,而且,随着数字电路的飞速发展,PCB工

Pcb设计基础:pcb各层的含义以及自定义的方法

Pcb设计基础:pcb各层的含义以及自定义的方法 亲爱的朋友,你好! 我是向工。 下面给你分享的是我的一些pcb设计的心得经验! Altium Designer 09 中各层的含义! 其实我觉得这是PCB设计中最基本的常识, 我在pcb设计的最初的时候也是 靠死记硬背的把每一层代表的含义给记下来,后来我发现自己 没能活学活用,其实方法很简单,下面让我慢慢道来: 一:不用记住都能知道含义的层 1. 线路层 我将用一个4层板来做一个案例分享, Altium Designer 09一般我们新建一个pcb都是双面板来的, 那如何看一个pcb的叠层结构呢? 可以在pcb编辑图纸区域内右键=》Options =》Layer Stack Manager…如下图1

图1

你将会打开Layer Stack Manager对话框,如下图2所示: 我们可以看到这是一款双面板:只有Top Layer 和Bottom Layer 这两层线路层。 图2

因为我们要设计的是一款4层板,所以我们还要增加两层线路层才是一个4层板, 参考下图3,我们可以点击右边的Add Layer 来增加两层线路层。 (我不推荐点击Add Plane来增加两层负片的线路层,即使你是用来做电源和地, 两个大片的铜箔,因为不管你是初学还是已经有一定经验的pcb设计者,我觉得 使用正片是最容易让人理解的,看到什么就是什么,出错的几率会小很多…) 图3

我刚做pcb设计的最初几年,到这一步我就不理他了,其实到这里有一个很关键的 技巧,这些层的名字是可以自己设定的,没必要用软件默认的名字,那样看 起来太让人费劲了,你可以双击它,会弹出一个对话框,如下图4:你可以对他进行设定…… 图4我一般按自己所喜欢的命名方法(主要是自己好记,一看就明白)如下图5一样把 4层线路层按如下方法命名: 第1层:L1_TOP 第2层:L2_GND 第3层:L3_VCC 第4层:L4_BOT (6层板,8层板,10层板,12层板……也是如此类推……)

PCB设计技巧总结经验谈

PCB设计技巧总结经验谈 趁着五一有空,这几天断断续续,结合工作中的一些经验,参考资料,总结,写了一下PCB设计方面的技巧和注意的地方,详细介绍给DIYer,希望能够从中提高DIYer的技能水平。 注意:不一定都对,仅仅供参考,只是个人的经验。 一. PCB板框设计 1. 物理板框的设计一定要注意尺寸精确,避免安装出现麻烦,确保能够将电路板顺利安装进机箱,外壳,插槽等。 2. 拐角的地方(例如矩形板的四个角)最好使用圆角。一方面避免直角,尖角刮伤人,另一方面圆角可以减轻应力作用,减少PCB板因各种原因出现断裂的情况。 3. 在布局前应确定好各种安装孔(例如螺丝孔)及各种开口,开槽。一般来说,孔与PCB板边缘的距离至少大于孔的直径。 4. 当电路板的面积大于200 x 150 mm时,应重视该板所受的机械强度。从美学角度来看,电路板的最佳形状为矩形。宽和长之比最好是黄金比值0.618(黄金比值的应 用也是很广的)。实际应用时可取宽和长为2:3或3:4等。 5. 结合产品设计要求(尤其是批量生产),综合考虑PCB板的尺寸大小。尺寸过大,印刷铜线过长,阻抗增加,抗噪声能力下降;尺寸过小,散热不好,线距不好控制,相邻导线容易干扰。 6. 一般来说,板框的规划是在KeepOutLayer层进行。 二.PCB板布局设计 元件布置是否合理对整板的寿命,稳定性,易用性及布线都有很大的影响,是设计出优秀PCB板的前提。不同的板的布局各有其要求和特点,但当中不乏一些通用的规则,技巧。现详细介绍给DIYer,希望能够从中提高DIYe的技能水平。 1. 元件的放置顺序 ①一般来说,首先放置与整板的结构紧密相关的且固定位置的元件。比如常见的电源插座,开关,指示灯,各种有特殊位置要求的接口(连接件之类),继电器等,并且不要与PCB板中的开孔,开槽相冲突,位置要正确。放置好后,最好用软件的锁定功能将其固定。 ②接着放置体积大的元件和核心元件以及一些特殊的元件。例如变压器等大元件,集成电路,处理器等核心IC元件,发热元件等。这些元件会随着布线的考虑有所移动,因此是大致的放置,更不用锁定。 ③最后放置小元件。例如阻容元件,辅助小IC等。

关于PCB设计的一些小技巧

关于PCB设计的一些小技巧 一、在进行高速多层PCB设计时,关于电阻电容等器件的封装的选择的,主要依据是什么?常用那些封装,能否举几个例子。 答:0402是手机常用;0603是一般高速信号的模块常用;依据是封装越小寄生参数越小,当然不同厂家的相同封装在高频性能上有很大差异。建议在关键的位置使用高频专用元件。 二、多层板布局时需要注意哪些事项? 答:多层板布局时,因为电源和地层在内层,要注意不要有悬浮的地平面或电源平面,另外要确保打到地上的过孔确实连到了地平面上,最后是要为一些重要的信号加一些测试点,方便调试的时候进行测量。 三、通孔和盲孔对信号的差异影响有多大?应用的原则是什么? 答:采用盲孔或埋孔是提高多层板密度、减少层数和板面尺寸的有效方法,并大大减少了镀覆通孔的数量。但相比较而言,通孔在工艺上好实现,成本较低,所以一般设计中都使用通孔。 四、在设计PCB 时,如何考虑电磁兼容性EMC/EMI,具体需要考虑哪些方面?采取哪些措施? 答:好的EMI/EMC 设计必须一开始布局时就要考虑到器件的位置, PCB 叠层的安排,重要联机的走法,器件的选择等。例如时钟产生器的位置尽量不要靠近对外的连接器,高速信号尽量走内层并注意特性阻抗匹配与参考层的连续以减少反射,器件所推的信号之斜率(slew rate)尽量小以减低高频成分,选择去耦合(decoupling/bypass)电容时注意其频率响应是否符合需求以降低电源层噪声。另外,注意高频信号电流之回流路径使其回路面积尽量小(也就是回路阻抗loop impedance 尽量小)以减少辐射,还可以用分割地层的方式以控制高频噪声的范围,最后,适当的选择PCB 与外壳的接地点(chassis ground)。 五、高速PCB,布线过程中过孔的避让如何处理,有什么好的建议?

双面板布线技巧PCB布线设计

PCB布线设计原则 1.多层布线选择 在当今激烈竞争的电池供电市场中,由于成本指标限制,设计人员常常使用双面板。尽管多层板(4层、6层及8层)方案在尺寸、噪声和性能方面具有明显优势,成本压力却促使工程师们重新考虑其布线策略,采用双面板。 2.自动布线的优缺点以及模拟电路布线的注意事项 设计PCB时,往往很想使用自动布线。通常,纯数字的电路板(尤其信号电平比较低,电路密度比较小时)采用自动布线是没有问题的。但是,在设计模拟、混合信号或高速电路板时,如果采用布线软件的自动布线工具,可能会出现一些问题,甚至很可能带来严重的电路性能问题。 3. 电路布线的注意事项 (1)在手工布线时,尽量采用地平面作为电流回路;将模拟地平面和数字地平面分开;如果地平面被信号走线隔断,为降低对地电流回路的干扰,应使信号走线与地平面垂直;模拟电路尽量靠近电路板边缘放臵,数字电路尽量靠近电源连接端放臵,这样做可以降低由数字开关引起的di/dt效应。厂商的演示板和评估板通常采用这种布线策略。但是,更为普遍的做法是将地平面布在电路板顶层,以降低电磁干扰。 (2)电路接地方式的考虑原则。

当电子线路中信号工作频率小于1MHz时。由于布线与元器件问的电感影响较小,而接地电路形成的环流可能形成较大的干扰,应该考虑单点接地。当工作频率大于10MHz时。地线阻抗变得很大。此时应考虑降低地线阻抗。可采用多点接地。当工作频率在1~10MHz 时。也应尽量考虑多点接地。在只有数字电路组成的PCB板接地时,要将接地电路做成闭式环路.可明显提高电路的抗干扰能力。 PCB上的接地连接如要考虑走线时,设计应将走线尽量加粗。这是一个好的经验法则,但要知道,接地线的最小宽度是从此点到末端的有效宽度,此处“末端”指距离电源连接端最远的点。应避免地环路。 (3)如果不能采用地平面,应 采用星形连接策略(见图1)。通过这 种方法,地电流独立返回电源连接 端。图1中,注意到并非所有器件都 有自己的回路,U1和U2是共用回路 的。如遵循以下第4条和第5条准则 是可以这样做的。 (4) 数字电流不应流经模拟器件。 数字器件开关时,回路中的数字 电流相当大,但只是瞬时的,这种现象是由地线的有效感抗和阻抗引起图1如果不能采用地平面,可以采用“星形”布线策略来处 理电流回路

PCB设计技巧百问

PCB设计技巧百问(合集) 1、如何选择PCB板材? 选择PCB板材必须在满足设计需求和可量产性及成本中间取得平衡点。设计需求包含电气和机构这两部分。通常在设计非常高速的PCB板子(大于GHz的频率)时这材质问题会比较重要。例如,现在常用的FR-4材质,在几个GHz的频率时的介质损(dielectric loss)会对信号衰减有很大的影响,可能就不合用。就电气而言,要注意介电常数(dielectric constant)和介质损在所设计的频率是否合用。 2、如何避免高频干扰? 避免高频干扰的基本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加ground guard/shunt traces在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。 3、在高速设计中,如何解决信号的完整性问题? 信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impeda nce),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。解决的方式是靠端接(termination)与调整走线的拓朴。 4、差分布线方式是如何实现的? 差分对的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side实现的方式较多。 5、对于只有一个输出端的时钟信号线,如何实现差分布线? 要用差分布线一定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出端的时钟信号是无法使用差分布线的。 6、接收端差分线对之间可否加一匹配电阻? 接收端差分线对间的匹配电阻通常会加, 其值应等于差分阻抗的值。这样信号品质会好些。 7、为何差分对的布线要靠近且平行? 对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(different ial impedance)的值, 此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。若两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。 8、如何处理实际布线中的一些理论冲突的问题 1. 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 2. 晶振是模拟的正反馈振荡电路, 要有稳定的振荡信号, 必须满足loop gain与phase的规范, 而这模拟信号的振荡规范很容易受到干扰, 即使加ground guard traces可能也无法完全隔离干扰。而且离的太远, 地平面上的噪声也会影响正反馈振荡电路。所以, 一定要将晶振和芯片的距离进可能靠近。

PCB设计技巧百问解答

PCB 设计技巧百问解答 1、如何选择PCB 板材? 选择PCB 板材必须在满足设计需求和可量产 性及成本中间取得平衡点。设计需求包含电 气和机构这两部分。通常在设计非常高速的PCB 板子(大于GHz 的频率)时这材质问题会比较重要。例如,现在常用的FR-4材质,在几个GHz 的频率时的介质损(dielectric loss)会对信号衰减有很大的影响,可能就不合用。就电气而言,要注意介电常数(dielectric constant)和介质损在所设计的频率是否合用。 2、如何避免高频干扰? 避免高频干扰的基本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加ground guard/shunt traces 在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。 3、在高速设计中,如何解决信号的完整性问题? 信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。解决的方式是靠端接(termination)与调整走线的拓朴。 4、差分布线方式是如何实现的? 差分对的布线有两点要注意,一是两条线的长度要尽量一样长, 另一

是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层 (side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side实现的方式较多。 5、对于只有一个输出端的时钟信号线,如何实现差分布线? 要用差分布线一定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出端的时钟信号是无法使用差分布线的。 6、接收端差分线对之间可否加一匹配电阻? 接收端差分线对间的匹配电阻通常会加, 其值应等于差分阻抗的值。这样信号品质会好些。 7、为何差分对的布线要靠近且平行? 对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值, 此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。若两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。 8、如何处理实际布线中的一些理论冲突的问题 1. 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 2. 晶振是模拟的正反馈振

开关电源PCB设计技巧和电气安全规范

在任何开关电源设计中,PCB板的物理设计都是最后一个环节,如果设计方法不当,PCB可能会辐射过多的电磁干扰,造成电源工作不稳定,以下针对各个步骤中所需注意的事项进行分析: 一、从原理图到PCB的设计流程 建立元件参数->输入原理网表->设计参数设置->手工布局->手工布线->验证设计->复查->CAM输出。 二、参数设置 相邻导线间距必须能满足电气安全要求,而且为了便于操作和生产,间距也应尽量宽些。最小间距至少要能适合承受的电压,在布线密度较低时,信号线的间距可适当地加大,对高、低电平悬殊的信号线应尽可能地短且加大间距,一般情况下将走线间距设为8mil。焊盘内孔边缘到印制板边的距离要大于 1mm,这样可以避免加工时导致焊盘缺损。当与焊盘连接的走线较细时,要将焊盘与走线之间的连接设计成水滴状,这样的好处是焊盘不容易起皮,而是走线与焊盘不易断开。 如图: 1

三、元器件布局 实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声;由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,因此,在设计印制电路板的时候,应注意采用正确的方法。每一个开关电源都有四个电流回路: (1). 电源开关交流回路 (2). 输出整流交流回路 (3). 输入信号源电流回路 2

(4). 输出负载电流回路输入回路 通过一个近似直流的电流对输入电容充电,滤波电容主要起到一个宽带储能作用;类似地,输出滤波电容也用来储存来自输出整流器的高频能量,同时消除输出负载回路的直流能量。所以,输入和输出滤波电容的接线端十分重要,输入及输出电流回路应分别只从滤波电容的接线端连接到电源;如果在输入/输出回路和电源开关/整流回路之间的连接无法与电容的接线端直接相连,交流能量将由输入或输出滤波电容并辐射到环境中去。 电源开关交流回路和整流器的交流回路包含高幅梯形电流,这些电流中谐波成分很高,其频率远大于开关基频,峰值幅度可高达持续输入/输出直流电流幅度的5倍,过渡时间通常约为50ns。这两个回路最容易产生电磁干扰,因此必须在电源中其它印制线布线之前先布好这些交流回路,每个回路的三种主要的元件滤波电容、电源开关或整流器、电感或变压器应彼此相邻地进行放置,调整元件位置使它们之间的电流路径尽可能短。 建立开关电源布局的最好方法与其电气设计相似,最佳设计流程如下: 1.放置变压器 2. 设计电源开关电流回路 3

PCB(印制电路板)布局布线100个经典技巧

PCB(印制电路板)布局布线技巧100问在电子产品设计中,PCB布局布线是最重要的一步,PCB布局布线的好坏将直接影响电路的性能。现在,虽然有很多软件可以实现PCB自动布局布线,但是随着信号频率不断提升,很多时候,工程师需要了解有关PCB布局布线的最基本的原则和技巧,这样才可以让自己的设计完美无缺,《PCB(印制电路板)布局布线100问》涵盖了PCB布局布线的相关基本原理和设计技巧,以问答形式解答了有关PCB布局布线方面的疑难问题,对于PCB设计人员来说是非常难得实用读物,欢迎大家在此基础上补充内容并完善。相关信息可发送到service@https://www.doczj.com/doc/045559416.html,。

1、[问]高频信号布线时要注意哪些问题? [答]1.信号线的阻抗匹配; 2.与其他信号线的空间隔离; 3.对于数字高频信号,差分线效果会更好; 2、[问]在布板时,如果线密,过孔就可能要多,当然就会影响板子的电气性能,请问怎样提高板子的电气性能? [答]对于低频信号,过孔不要紧,高频信号尽量减少过孔。如果线多可以考虑多层板; 3、[问]是不是板子上加的去耦电容越多越好? [答]去耦电容需要在合适的位置加合适的值。例如,在你的模拟器件的供电端口就进加,并且需要用不同的电容值去滤除不同频率的杂散信号; 4、[问]一个好的板子它的标准是什么? [答]布局合理、功率线功率冗余度足够、高频阻抗阻抗、低频走线简洁. 5、[问]通孔和盲孔对信号的差异影响有多大?应用的原则是什么? [答]采用盲孔或埋孔是提高多层板密度、减少层数和板面尺寸的有效方法,并大大减少了镀覆通孔的数量。但相比较而言,通孔在工艺上好实现,成本较低,所以一般设计中都使用通孔。 6、[问]在涉及模拟数字混合系统的时候,有人建议电层分割,地平面采取整片敷铜,也有人建议电地层都分割,不同的地在电源源端点接,但是这样对信号的回流路径就远了,具体应用时应如何选择合适的方法? [答]如果你有高频>20MHz信号线,并且长度和数量都比较多,那么需要至少两层给这个模拟高频信号。一层信号线、一层大面积地,并且信号线层需要打足够的过孔到地。这样的目的是: 1、对于模拟信号,这提供了一个完整的传输介质和阻抗匹配; 2、地平面把模拟信号和其他数字信号进行隔离; 3、地回路足够小,因为你打了很多过孔,地有是一个大平面。 7、[问]在电路板中,信号输入插件在PCB最左边沿,MCU在靠右边,那么在布局时是把稳压电源芯片放置在靠近接插件(电源IC输出5V经过一段比较长的路径才到达MCU),还是把电源IC放置到中间偏右(电源IC的输出5V的线到达MCU就比较短,但输入电源线就经过比较长一段PCB板)?或是有更好的布局? [答]首先你的所谓信号输入插件是否是模拟器件?如果是是模拟器件,建议你的电源布局应尽量不影响到模拟部分的信号完整性.因此有几点需要考虑(1)首先你的稳压电源芯片是否是比较干净,纹波小的电源.对模拟部分的供电,对电源的要求比较高.(2)模拟部分和你的MCU是否是一个电源,在高精度电路的设计中,建议把模拟部分和数字部分的电源分开.(3)对数字部分的供电需要考虑到尽量减小对模拟电路部分的影响.

相关主题
文本预览
相关文档 最新文档