当前位置:文档之家› 基于USB2.0与FPGA的视频的采集卡设计

基于USB2.0与FPGA的视频的采集卡设计

基于USB2.0与FPGA的视频的采集卡设计
基于USB2.0与FPGA的视频的采集卡设计

第一章绪论

3

播、大屏融合、高清录播等企业和工程商都开始筹划高清视频设备,视频采集卡开始朝着高清视频采集卡的方向前进。

§1.5 本论文所做的工作

本课题设计的视频采集卡的功能是将从CCD摄像头出来的PAL制式旳标准模

拟视频源解码成Y:U:V = 4:2:2的数字格式,然后经过FPGA所控制的SDRAM存储模块进行数据暂存及频率变换,最后通过USB2.0接口上传至PC进行视频的实时显示。

因此,本论文所做的工作主要包括以下几个方面:

1)对PAL、NTSC、SECAM制式的标准模拟视频源进行一些简单的说明,重

点关注它们的不同点和几个主要的参数。

2)视频采集卡的原理图设计以及主要芯片的作用和特点。

3)下位机程序和上位机程序设计的思想和实现方法。

4)整个系统的实验结果和分析。

5)说明现阶段工作的不足并提出一些改进的措施。

B2.0与FPGA的视频采集卡设计

§1.3 视频采集卡的应用范围

政府:远程工作会议、政府采购会议、远程统计与协作、政府招投标会议、

人事招聘与考核、群众政务咨询、信访、公共卫生指挥丨5]。

金融:金融工作会议、远程客户服务、办公会议、电子商贸、远程咨询、操

作指导、路演、远程招聘与培训。

企业:企业例会、远程商务谈判、协同办公、远程招聘、代理商渠道商远程

培训、技术研讨、行政办公、内部培训学习、分支机构会议、远程客服、远程监

控。

医疗:远程会诊、远程医疗咨询、远程医学交流。

教育:多媒体教学视频直播录制,网络教育培训、远程家教辅导、考场监控、

家长会、异地学术交流、远程教学观摩课。

公检法、部队:远程会议、远程协同作战指挥、远程警务指挥、远程审讯、

远程探监、法庭直播、应急指挥。

运营:电信级网络会议服务、即时通讯服务、VOIP、PSTN。

资讯与媒体:电视五动、远程采访、远程咨询、远程商务。

§1.4视频采集卡的发展

视频采集卡是一个完整的视频信号处理系统的最前端部分,是进行视频信号处

理的前提条件,它随着集成电路技术以及通信接口技术的进步得到了极大地发展,目前正在朝着高速度、高分辨率、高可靠性、高集成化的方向前进

早期的视频釆集卡采用分立的元器件进行视频釆集系统的搭建,设计的系统稳

定性差,功耗大,成像质量也不够理想。

随着集成电路技术的发展,幵始出现一些A/D、D/A转换芯片以及视频同步分

离芯片,使用这些芯片搭建的视频采集卡稳定性高,功耗降低,成像质量也较好。但是使用的仍然是传输速率较低,操作不太方便的PCI传输接口,此时的视频采

集卡不能实现硬压缩。

集成电路的进一步发展导致诸多性能优异的视频编解码芯片以及FPGA、DSP

等处理器芯片的出现,视频釆集卡的功能得到了极大地扩展,它不仅仅实现采集的功能,而且能够实现硬件压缩的功能;而通信接口技术的发展使得各种传输速率快、操作方便、支持热插拔的接口先后出现,如USB接口、IEEE 1394接口等

等。此时出现的视频采集卡功能强大,操作简捷,稳定性以及成像质量都非常优秀。

进入2011年,由于高清视频的高度发展,各种视频会议、电子教学、视频直

B2.0与FPGA的视频采集设计

第一章绪论

§1.1课题研究背景及意义

在这个五彩续纷的世界里,图像是人类获取外部信息及认知自然界的重要来源。

国内外许多业界的人士曾经统计得知:人类获得的信息中80%以上都是来自眼睛

直接看到的生活现象⑴。

随着社会的发展和科技的进步,人们想要更快、更好、更直接地获取图像视频

信息,由此导致了视频采集技术的不断发展。如今,视频采集卡已经成为电子产

品家族中的重要成员。它广泛应用于安防监控、教育课件录制、大屏拼接、多媒

体录播录像、会议录制、虚拟演播室、虚拟现实、安检X光机、雷达图像信号、VDR纪录仪、医疗X光机、内窥镜、CT机、胃肠机、工业检测、智能交通、医

学影像、工业监控、仪器仪表、机器视觉等领域I2]。各种各样的功能用来满足用户的不同需求,完美的性能以及简捷的操作极大地提升了用户的视觉体验。目前国

内外视频采集卡的品牌很多,主要有天敏、佳的美、E视宝、Osprey、品尼高、圆刚和好莱玛制片等等13],每个品牌都拥有众多优秀的产品。即便如此,开发一款用户定制的视频采集卡依然有着重要的意义。它只需满足用户特定的需求,因而资

源利用率较高、成本较低;并且由于用户可以灵活地操纵板子上的各个器件以及

上位机程序,系统的进一步开发和升级将会非常方便。

§1.2 视频采集卡的分类

视频采集卡(Video Capture Card)也叫视频卡,是将模拟摄像机、录像机、LD

视盘机、电视机等输出的视频数据或者视频音频的混合数据输入电脑,并转换成电脑可辨别的数字数据,存储在电脑中,成为可编辑处理的视频数据文件。它可以按照不同的参数进行分类14]。

视频釆集卡按照视频信号源,可以分为数字采集卡(使用数字接口)和模拟采

集卡;按照安装链接方式,可以分为外置采集卡(盒)和内置式板卡;按照视频压缩方式,可以分为软压卡(消耗CPU资源)和硬压卡;按照视频信号输入输出接口,可以分为1394采集卡、USB采集卡、HDMI采集卡、VGA采集卡、PCI

釆集卡、PCI-E采集卡;按照其性能作用,可以分为电视卡、图像采集卡、DV采集卡、电脑视频卡、监控采集卡、多屏卡、流媒体釆集卡、分量采集卡、高清采集卡、笔记本采集卡、DVR卡、VCD卡、非线性编辑卡(简称非编卡);按照其

用途可分为广播级视频采集卡,专业级视频采集卡,民用级视频采集卡。因此,根据以上的分类方法,可以将本课题设计的这款视频采集卡定义为模拟外置型非压缩式USB视频采集卡。

电视制式、主要芯片即OpenCV的介绍

7

SAA7114、SAA7118、SAA7121、SAA7129都是这个家族中的重要组成部分。前

面四款芯片跟7115—样是解码芯片,后面两款是编码芯片。考虑到数据解码以后的接口以及7115自身的一些特点,使用7115这款芯片进行视频解码将是一个不错的选择。7115其实是7114的升级版,它的管脚与7114完全兼容,也就是说,这两款芯片在板子上可以相互替换。不过,71]5增强了71]4的某些性能参数,例如7115能自动进行TV/VCR检测,拥有全自动色标准检测等更优秀的性能。其他更多的性能参数可以参见7115的芯片资料,芯片资料上有7115和7114的详细对比。

下面简单地列举SAA7115视频解码芯片的几个特点⑴

a) 6通道模拟信号输入,内有源选择器(例如:六路CVBS或者(2路YC

禾口2路CVBS)或者(1路YC和4路CVBS))。

b)两只改进的9位CMOS模数转换器,以两倍的(速率)在微分CMOS类

型(进行)ITU-656 采样(27MHz)。

c)对于所有的标准,只需要一个晶振(32.1]MHz或者24.576MHz)。

d)自动检测50/60HZ的场频,并自动识别所有普通的广播标准。

e)在梳状视频解码器处的10位位宽视频输出。

0水平和垂直方向缩放至任意大小窗口。

g)信号可以从扩展端口(X-port)获得。

h)可以为二维亮、色分离提供自适应2/4线梳状滤波器,其中为所有PAL制

和NTSC制提供高亮、色带宽,降低亮色窜扰。

i)通过串行lie总线编程,位速率高达400kbit/s。

由于7115功能的多样性,比如用户可以自己选择输入信号的制式,输出视频

窗口的大小等等。因而用户需要自己通过IIC总线配置7115内部的寄存器,71]5 内部一共有256个寄存器,这也是使用7115这款芯片的难点所在。

通过IIC总线配置7115内部的寄存器,可以使用两种方法[12][12]。一种是通过FPGA模拟IIC总线的时序对其进行配置,这种方法稍显麻烦;另一种是通过68013 单片机对其进行配置,只需将两款芯片的SCL和SDA管脚直接相连,然后使用舉片机将配置的数据写入寄存器中,如图2.2所示,7]15和68013芯片上都有这两个管脚,这种方法相对简单。经过考虑,本课题使用后一种方法。

-广

n、,7\7

‘ “

~ RESO:丄V—、w

——^~ SDA

SCL ~^^

A:I:

:S …

^ 似工

^■一、7、

p" ?

-V

^ T..

T ?. K—T ^

?

图2. 2 SAA7n5和68013芯片的SCL、SDA管脚原理图

具体到每个寄存器需要配置成哪些数值,是需要好好的研究芯片资料并不断

地进行调试。当然有些寄存器的配置影响不大,而有些寄存器的配置非常关键。

2.0与FPGA的视频采集卡设计

比如SA88这个寄存器,需要重复地对它进行配置。让解码芯片不断地进行唤醒、复位操作。这样芯片才会起震,才会工作;由于在配置寄存器的初期没有意识到这个问题,走了很多弯路。

正如2.1.1节的最后所提到的,配置完寄存器后,我们需要用示波器检测几个

关键的管脚以确保解码芯片已经工作,并且已经按照用户的想法工作。我们可以按照以下的步骤进行检测:

1)第28管脚,LLC管脚。用示波器检测LLC管脚的频率是否为27MHz,从

而确定芯片是否已经起震,是否已经工作。27MHz是一个非常重要的参数,它表

示解码芯片以这个速度输出解码的8位数据。图2.3是示波器检测LLC管脚的输出波形。

^-1

Hiri Willi I" r

"ll

I

I^roi

I _

B I

I m 醒醒J

图2. 3 LLC管脚的输出波形

2)第48管脚,IGPO管脚;第52管脚,IGPV管脚;第53管脚,IGPH管脚。

它们分别代表帧频、场频、行频。用示波器依次检测这几个管脚,观察IGPO的频率是否为25Hz,IGPV的频率是否为50Hz,IPGH的周期是否为64us。从而确定

解码芯片是否已经对PAL制式的模拟视频进行解码。图2.4至图2.6显示了这三个管脚的波形。

电视制式、主要芯片即OpenCV的介绍

5

第二章电视制式、主要芯片及OpenCV的介绍

§2.1三种电视广播制式的简要说明

视频采集卡的输入信号是标准模拟视频源,可以是三种电视广播制式的任意一种,只需对视频解码芯片的寄存器进行不同的配置即可。三种电视广播制式分别为PAL制式、NTSC制式和SECAM制式。中国(不含香港地区)、印度、巴基斯

坦等国家采用PAL制式,美国、日本、韩国以及我国台湾地区等采用NTSC制式,法国、俄罗斯、希腊等国家采用SECAM制式。下面对三种电视广播制式进行简

单的介绍,根据实际的应用情况,着重介绍一下PAL制式。

§2.1.1 PAL制式的介绍

PAL制式于1966年在前西德开始使用,它是属于同时制的一种制式。PAL是Phase Alternation Line的缩写,其全称为逐行倒相:|下:交平衡调幅制式,这是由它色度信号的处理特点所决定的[7]。

PAL制式对同时传送的两个色差信号中的其中一个采用逐行倒相,另一个进行

正交调制方式。这样,如果在信号传输过程中发生相位失真,则会由于相邻两行

信号的相位相反起到互相补偿的作用,从而有效地克服了因相位失真引起的色彩

变化。因此,PAL制式对相位失真不敏感,图像彩色误差较小,与黑白电视的兼

容性也较好。

PAL制电视的供电频率为50Hz,场频为50Hz,巾贞频为25Hz,扫描线为625

行,隔行扫描,奇数场在前,偶数场在后。标准的数字化PAL电视标准分辨率为720*576,24比特的色彩位深,画面的宽高比为4:3。图像信号带宽分别为4.2MHz、5.5MHz、5.6MHz 等。

根据以上对PAL制式的介绍可知,当对PAL制式的标准模拟视频源进行解码

后,视频采集卡上的解码芯片有几个管脚是需要特别注意的。比如说IGPO、IGPV 和IGPH三个管脚,这三个管脚代表的分别是倾同步信号、场同步信号以及行同步信号。用示波器测量它们的频率以及相互之间的相位关系,看是否满足要求。由

此来检测SAA7115视频解码芯片是否配置成功,是否己经正常工作。下文对

SAA7115解码芯片的介绍部分会对此做进一步的说明。

§2.1.2 NTSC制式的介绍

NTSC制式于1954年在美国开始使用,它也属于同时制制式【8]。NTSC是

National Television Standards Committee的缩写,意思是“(美国)国家电视标准委

电视制式、主要芯片即OpenCV的介绍

9

IHO

I I匪邏麗麗■麗匪歴

I iHli

L ,.?^.,

: . '1 ?"W'l^ f >?-A ^ ? f ??■.

U. '】...■■‘--■■':.'■...........、I.基^ .

.k.....~.

图2. 4 IGPO管脚的输出波形

'''''''''''''II !W------i''"'''''''

W''_"""1

IIHMI^MTli

1画顯灣I

OT _|pBiiiPiiiiiP^^^?ww 」.ii丨,111 丨j._ 画_? 敵■.丨腳雕

-.『等.

I If .華r青言’~1

I M J?v.^一一一二,一一二?—一、* II ft - 111_ ____111 SBiiiilliTinil ml I IIIj

图2. 5 IGPV管脚的输出波形

二:,y丨11"■丨y'- ^%9:'

:.:、_

1^1 i

:I '■nliiiiliiMl _.”

■__ ^

.,爾1 :會:.,.二■% 1::-

t 糧? J .... .1..-Ill -—f li—

图2. 6 IGPH管脚的输出波形

2.0与FPGA的视频采集卡设计

员会”。NTSC制式与PAL制式的区别主要在于彩色编、解码方式和场扫描频率

不同。

NTSC制式的场频为60Hz,顿频为30Hz,扫描线为525行,偶数场在前,奇

数场在后。标准的数字化NTSC电视标准分辨率为720M80像素,24比特的色彩位深,画面的宽高比为4: 3。

§2.1.3 SECAM制式的介绍

SECAM制式于1966年在法国研制成功,它属于顺序制制式19]。SECAM是法

语Sequential Couleur a memoire (顺序传送彩色与存C)的缩写,它是为了克服NTSC制式的色调失真而出现的另一种彩色电视制式。

SECAM制式的顿频为每秒25顿,每顿625行;隔行扫描,画面比例为4:3,

分辨率为720x576,约40万像素;亮度带宽6.0MHz;彩色幅载波4.25MHz;色

度带宽l.OMHz(U), l.OMHz(V);声音载波6.5MHz。

§2.2视频采集卡主要芯片的介绍

视频采集卡采用4层PCB板设计,内层用做电源层和地层。主要的芯片都位

于顶层,如视频解码芯片SAA7115、主控芯片EP1C6Q240C8FPGA、大容量存储

芯片SDRAM、USB传输芯片CY7C68013和电源芯片等。为了更好地说明问题,

附上视频采集卡的一张照片,如附录A所示。按照信号的传递方向,系统的总体

框架如图2.1图2.1所示。

+,?纏

主机视频

显示模块

i k

rrn_4" SAA7115 FPGA 逻辑

CY7C68013

解码模块

控制模块

USB模块

I Z

y [

SDRAM数据

存储模块

图2. 1 视频釆集卡总体框架图

下面开始逐一介绍视频采集卡上的主要芯片。

§2.2.1视频解码芯片SAA7115

SAA7115是飞利浦公司生产的一款支持多制式的视频解码器,它属于Philips

公司编解码芯片SAA71-系列当中的一员_。我们常听说的SAA7111、SAA7113、

2.0与FPGA的视频采集卡设计

3)第54-57管脚和59-62管脚,即IPD0-IPD7管脚,8位位宽视频解码数据

管脚。用示波器检测其中任意管脚,观察输出波形以确定是否有视频数据输出,当然现在还无法验证数据的输出是否正确,下文将会提到验证的方法。波形如图2.7所示。

■_■匪國腦

ili_ll

图2. 7 IPDO管脚的输出波形

经过上述几个验证步骤,SAA7115可以说已经按照用户的需要进行工作了。

然而由于检测设备的限制,还有一些参数是现在无法确定的,如视频输出窗U大

小,行标志位等。但是总有办法的,下文将会提到。

§2.2.2 主控芯片EP1C6Q240C8FPGA

视频釆集卡的主控芯片是Altera公司2003年推出的Cyclone系列的一款FPGA: EP1C6Q240C8,其采用0.13um制造工艺,1.5v内核供电;这款FPGA有240

个管脚,用户实际可利用的管脚有185个;片内总的memory资源为65536bits; 总的逻辑单元为5980个;两个PLLs[i3]。论文的第三章将会给出视频采集卡中关于FPGA资源实际耗费情况的一张截图。

说到FPGA,就不得不说说CPLD、FPGA的发展历程以及它与CPLD、ASIC

之间的别。

§2.2.2.1 CPLD、FPGA 的发展历程

CPLD.FPGA的出现要归功于微电+设计技术与工艺以及计算机技术的发展。

大规模集成电路的发展降低了产品的生产成本,提高了系统的可靠性,缩小了设计的物理尺寸,推动了社会的数字化进程,同时也促使可编程逻辑器件发展至今天的CPLD、FPGA;计算机技术带来的软件革命也催使硬件系统的设计软件化,硬件系统的功能不再是一成不变,而是可以跟软件系统一样进行升级、优化、扩

电视制式、主要芯片即OpenCV的介绍

11

展,广大的硬件工程师可以更多地利用计算机来辅助自己进行硬件设计,简化了设计、提高了效率。Verilog、VHDL等硬件描述语言的出现很好地说明了这个问题。

CPLD是Complex Programmable Logic Device的缩写,即复杂的可编程逻辑器

件;FPGA是Field Programmable Gate Array的缩写,即现场可编程逻辑器件。它

们都属于可编程逻辑器件,它们是从早期的可编程阵列逻辑(PAL)和通用阵列逻

辑(GAL) —步一步发展过来的【1"】。现在FPGA的制造工艺已经缩小到了22mri, 目前世界上的两大FPGA生产厂家Xilinx公司和Altera公司均已推出制造工艺为

22nm的产品。

FPGA 主要生产厂商有Altera、Xilinx、Actel、Lattice 和Atmel 公司。其中Altera 作为世界老牌可编程逻辑器件的厂家,是可编程逻辑器件的发明者,开发软件为MAX+PLUS II和Quartus II。Xilinx是FPGA的发明者,拥有世界一半以上的市场,

提供90%的高端65nm FPGA产品,开发软件为ISE。Actel主要提供非易失性FPGA,产品主要基于反溶丝工艺和FLASH工艺,其产品主要用于军用和宇航。

§2.2.2.2 FPGA 与CPLD 以及ASIC 的区别

首先介绍一下FPGA与CPLD之间的区别[]5]:

尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD

和FPGA结构上的差异,具有各自的特点:

1) CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。

换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘

积项丰富的结构。

2) CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而

FPGA的分段式布线结构决定了其延迟的不可预测性。

3)在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内

连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可

在逻辑门下编程,而CPLD是在逻辑块下编程。

4) FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。

5) CPLD比FPGA使用起来更方便。CPLD的编程采用E2PR0M或FAST

FLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。

6) CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA

是门级编程,并且CLB之间釆用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。

2.0与FPGA的视频采集卡设计

7)在编程方式上,CPLD主要是基于E2PR0M或FLASH存储器编程,编程

次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。

8) CPLD保密性好,FPGA保密性差。

9) 一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。

再来介绍一下FPGA与ASIC之间旳区别

ASIC (Application Specific Integrated Circuit)即专用集成电路,是指应特定用

户要求和特定电子系统的需要而设计、制造的集成电路。FPGA是专用集成电路中集成度最高的一种,用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。换句话说,FPGA是可编程的ASIC。

FPGA相对ASIC来说,有下面几个优势:

1)更快的面市时间,无需布线、掩模和其它制造步骤。

2)无NRE (临时花费),与ASIC设计有关的成本,降低单位成本。

音乐盒设计

基于89c52单片机音乐播放的设计安庆师范学院物理与电气工程学院

1、设计任务和要求 (1) 2、总体设计 (1) 3、硬件设计 (2) 3.1 硬件电路 (2) 3.2 原理说明 (2) 4、软件设计 (2) 5、仿真、安装和调试 (5) 6、收获与体会 (6) 附件1:元件清单 (6) 附件2: 总仿真电路图 (7) 附件3:音乐程序 (8)

音乐盒设计 1、设计任务和要求 (1)利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演乐曲。 (2直接当前播放的歌曲。 (3)可通过功能键选择播放上一首、下一首和暂停播放歌曲。 2、总体设计 (1)要产生音频脉冲,只要算出某一音频的周期(1/音频),然后将此周期除以2,即为半周期的时间,利用定时器计时这个半周期时间,每当计时到后就将输出脉冲的I/O反相,然后重复计时此半周期时间再对I/O口反相,就可在I/O脚上得到此频率的脉冲 (2)利用8052的内部定时器使其工作在计数器模式MODE1下,改变记数值TH0及TL0以产生不同频率的方法。例如频率为523HZ,其周期T=1/523=1912微秒,因此只要令计数器定时956/1=956在每记数9次时将I/O口反相,就可得到中音D0(523HZ)。 记数脉冲值与频率的关系公式如下: N=Fi/2/Fr N:记数值 Fi:内部计时一次为1微秒.故其频率为1MHZ Fr;要产生的频率 (3):起记数值的求法如下: T=65536-N=65536-Fi/2/Fr 例如:设K=65536,F==Fi=1MHZ,求低音D0(523HZ),高音的D0(1046HZ)的记数值。 T=65536-N=65536-Fi/2/Fr=65536-/2/Fr=65536-500000/Fr 低音D0的T=65536-500000/262=63627 中音D0的T=65536-500000/523=64580 高音D0的T=65536-500000/1047=65059

基于FPGA的多功能数据选择器设计与实现

基于FPGA的多功能数据选择器设计与实现 章军海201022020671 [摘要]传统的数字系统设计采用搭积木式的方法来进行设计,缺乏设计的灵活性。随着可编程逻辑器件(PLD)的出现,传统设计的缺点得以弥补,基于PLD的数字系统设计具有很好的灵活性,便于电路系统的修改与调试。本文采用自顶向下的层次化设计思想,基于FPGA设计了一种多功能数据选择器,实现了逻辑单元可编程、I/O单元可编程和连线可编程功能,并给出了本设计各个层次的原理图和仿真时序图;本文还基于一定的假设,对本设计的速度和资源占用的性能进行了优化。 [关键词]层次化设计;EDA;自顶向下;最大时延 0引言: 在现代数字系统的设计中,EDA(电子设计自动化)技术已经成为一种普遍的工具。基于EDA技术的设计中,通常有两种设计思想,一种是自顶向下的设计思想,一种是自底向上的设计思想[1]。其中,自顶向下的设计采用层次化设计思想,更加符合人们的思维习惯,也容易使设计者对复杂系统进行合理的划分与不断的优化,因此是目前设计思想的主流。基于层次化设计思想,实现逻辑单元、I/O单元和连线可编程可以提高资源的利用效率,并且可以简化数字系统的调试过程,便于复杂数字系统的设计[2][3]。 1系统原理图构架设计 1.1系统整体设计原理 本设计用于实现数据选择器和数据分配器及其复用的I/O端口和连线的可编程却换,提高系统的资源利用效率。系统顶层原理框图如图1所示,系统拥有两个地址选择端口a0、a1,一个功能选择端口ctr,还有五路I/O复用端口。其中,地址选择端口用于决定数据选择器的数据输入端和数据分配器的数据输出端;功能选择端口用于切换数据选择器和数据分配器,以及相应的I/O端口和连线;I/O复用端口数据的输入和输出,其功能表如表一所示。 图1顶层模块原理图 表一顶层系统功能表

基于51单片机数字音乐盒的设计

单片机实物设计 题目: 单片机音乐盒设计 班级: K0312416-17 姓名:湛俊朱斌杨裕庆 学号:K031241705 K031241632 K031241737

摘要 本设计是一个基于STC89C51RC系列单片机的音乐盒,依据单片机技术原理,通过硬件电路制作以及软件编译,设计制作出一个多功能多功能音乐盒。该音乐盒主要由按键电路、复位电路、时钟电路以及蜂鸣器组成。本音乐盒共有四首歌曲,用4个按键控制。播放歌曲时,蜂鸣器发出某个音调。本设计利用KEIL编程软件对音乐盒源程序进行编程并调试,配合PROTEUS 仿真软件对硬件进行仿真调试,节约了设计时间。 【关键词】STC89C51RC 按键蜂鸣器 LCD1602液晶

目录 前言 ................................................................................................................................. 第一章工作原理 .............................................................................................................. 1.1设计目标 ............................................................................................................... 第二章软件设计与分析................................................................................................... 2.1 软件设计的组成................................................................................................... 2.2 各部分软件分析 ................................................................................................. 2.2.1 延时165MS,即十六分音符子函数 .......................................................... 2.2.2 延时1MS子函数...................................................................................... 2.2.3 定时器0中断子函数 .............................................................................. 2.2.4 播放音乐子函数...................................................................................... 2.5 定时器1中断子函数.................................................................................. 2.6 按键扫描子函数 ......................................................................................... 2.2.7 主函数..................................................................................................... 2.3 总源程序 ............................................................................................................ 第三章软件仿真 .............................................................................................................. 3.仿真图...................................................................................................................... 3.1 元件清单 ............................................................................................................... 总结 ..................................................................................................................................... 参考文献..............................................................................................................................

基于FPGA的脉冲发生器的设计

【基础?应用】 基于FP GA 的脉冲发生器的设计 ① 张 涛 (北方交通大学电子信息工程学院,北京100044)【摘 要】 以脉冲发生器为研究对象,介绍了脉冲发生器的基本原理、硬件构成和实现方法,阐述了一种基于DSP -FP G A 数字系统的PWM 控制脉冲生成方法,并给出了仿真及实测实验结果。 【关键词】 脉宽调制;脉冲发生器;可编程门阵列 1 FP G A 简介 FP G A (Field Programmable G ate Array ,可编程门阵列)是美国Xinlinx 公司推出的一种采用单元型结构的新型PLD 器件。它采用CMOS 、SRAM 工艺制作,在结构上与阵列型PLD 不同,它的内部由许多独立的可编程逻辑单元构成,各逻辑单元之间可以灵活地相互连接,具有密度高、速度快、编程灵活和可重新配置等诸多优点。FP G A 已成为当前主流的PLD 器件之一。 1.1 PLD 的主要特点 (1)缩短研制周期。 (2)降低设计成本。用PLD 来设计和改造电子产品可以大幅度地减少印制板的面积和接插件,降低装配和调试费用。 (3)提高设计灵活性和可靠性。大量分立式元器件在向印制板上装配时,往往会发生由于虚焊或接触率近似于线性增加,且线性斜率较小;肝脏中大小不同的散射源对不同频率的声波存在有不同的散射效应。 由于肝脏组织结构的非均匀性、复杂性及其各部分散射相关长度分布的不一致性,其散射谱随深度增加而衰减变化,并非完全呈线性关系,而呈现较复杂的关系变化。 ⑵肝叶边缘部分及表层区域,其结构散射近似呈瑞利散射特征;肝叶表层以下与肝叶中心之间的中间区域,其结构散射呈随机散射特征;肝叶中心区域,其结构散射呈扩散漫射特征,也有较强的反射。 ⑶利用区域结构散射特征谱,不仅可对各特征区域组织微结构作出粗略估计,而且可通过区域散射谱特征的变化,对生物软组织的生理病理变化的判断提供依据。 综上所述,利用超声散射谱分析,可为B 超的形态学图像信息诊断提供一个组织特征的信息,在临床上是有应用前景的。 参考文献 [1]Luigi Landini et al.IEEE Trans on U FFC.1990,37(5):448-456 [2]陈启敏等.声学学报.1995,Vol.21,No.4:692-699 [3]E.J.Feleppa ,et al.IEEE Annual International Conference ,EMB ,1990;12(1):337 (责任编辑:常 平) 2003年4月第19卷第2期 武警工程学院学报JOURNAL OF EN GG COLL EGE OF ARMED POL ICE FORCE Apr.2003Vol.19No.2 ①收稿日期:2002-12-06作者简介:张涛(1968.07-),1994年毕业于西安交通大学工业电器自动化专业,现在北方交通大学电子信息工程学院电子与信息工程专业攻读硕士学位。

音乐盒设计

机电学院单片机课程设计 任务书 设计名称:音乐盒的设计 学生姓名:*** 指导教师:***** 起止时间:自*** 年* 月* 日起至*** 年*月* 日止 一、课程设计目的 利用AT89C51系列单片机,实现两首歌曲的依次、循环播放,并在播放歌曲的同时,与之对应的LED灯亮起,形成三种绚丽的灯光效果,制作成一个简单的音乐盒。 二、课程设计任务和基本要求 设计任务: 1.运用AT89C51系列单片机的技术原理,通过硬件电路制作以 及软件编译,设计制作出一个多功能音乐盒; 2.运用2N2905三极管放大技术,对扬声器音频信号进行放大, 实现音乐播放功能; 3.8个LED灯对应音乐的不同音阶,实现伴随音乐播放,发出 不同的花样效果的功能。 基本要求: 1. 能够实现设计任务的基本功能; 2. 至少设计两种音乐的播放和三种灯光效果的制作; 3. 能够完成音乐盒实物的焊接; 4. 完成设计后独立撰写3000字左右的设计报告。

目录 摘要 (1) 关键字 (1) 1 概述 (2) 1.1设计意义 (2) 1.2设计方案 (2) 1.3设计内容 (2) 2 硬件设计 (3) 2.1音乐盒的结构框图 (3) 2.2单片机模块 (3) 2.2.1 AT89C51系列单片机介绍 (3) 2.2.2 最小系统 (4) 2.3扬声器模块 (4) 2.4LED显示模块 (5) 2.5按键模块 (5) 3 软件设计 (6) 3.1音乐盒的功能框图 (6) 3.2音调、节拍以及编码的确定方法 (6) 3.2.1 音调的确定 (6) 3.2.2 节拍的确定 (7) 3.2.3 编码 (8) 3.3软件程序设计 (9) 3.3.1 程序流程图 (9) 3.3.2 程序源代码 (10) 4 调试 (10) 4.1实验环境 (10) 4.1.1 PROTEUS软件简介 (10) 4.1.2 KEIL简介 (11) 4.2仿真调试 (11) 4.3花样灯3种效果 (12) 4.4实物调试 (13) 5 总结 (14) 参考文献 (15) 附录 (16) 附录1仿真电路图 (16) 附录2实物图 (16) 附录3元器件清单 (16) 附录4程序源代码及注释 (17)

基于FPGA的模拟IIC接口设计与实现

研究生课程论文 课程名称基于FPGA的模拟IIC接口设计与实现授课学期2012 学年至2013 学年第一学期学院电子工程学院 专业电子与通信工程 学号2012011603 姓名 任课教师 交稿日期2013.01.10 成绩 阅读教师签名 日期 广西师范大学研究生学院制

基于FPGA的模拟I2C接口设计与实现 摘要:本文论述了I2C总线的基本协议,以及基于FPGA 的模拟I2C 总线接口模块的设计,在QuartusII软件中用Verilog HDL语言编写了部分I2C总线接口功能的程序代码,生成原理图模块。并连接好各个模块,进行了时序仿真。最后,下载到FPGA的板运行测试。 关键词:I2C 接口FPGA Verilog 1课题研究意义、现状及应用分析 目前市场上主流的嵌入式设备主要是微处理器、DSP等,但FPGA 以其独有的高抗干扰性、高安全性正在逐步取得开发公司的青睐,在FPGA上开发I2C势在必行。并且利用EDA 工具设计芯片实现系统的功能,已经成为支撑电子设计的通用平台,并逐步向支持系统级的设计方向发展。模块化的设计思想在软件设计过程中越来越被重视。I2C总线是Philips 公司推出的双向两线串行通讯标准,具有接口线少、通讯效率高等特点。因此,基于FPGA的I2C总线设计有着广泛的应用前景。

2课题总体方案设计及功能模块介绍 本设计主要分三大模块,分别是I2C 总线接口模块、按键输入控制模块、数码管显示模块。I2C总线模块集成了I2C协议用于和总线相接EEPROM的通信;按键输入控制模块用于控制I2C模块的页读、页写、字节读、字节写功能;数码管显示模块用于显示通过I2C总线读取EEPROM中的数据。 3I2C接口设计原理 I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,并且能够以10 Kbps的最大传输速率支持40个组件。I2C总线的另一个优点是,它支持多主控(multimastering),其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。 3.1总线的构成 I2C总线是由数据线SDA和时钟SCL构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送,最高传送速率100kbps。各种被控制电路均并联在这条总线上,但就像电话机一样只有拨通各自的号码才能工作,所以每个电路和模块都

单片机课程设计---电子音乐盒的设计

课程设计(说明书) 电子音乐盒的设计 院(系)名称工学院机械系 专业名称机械设计制造及其自动化学生姓名 指导教师 2013年01月12日

课程设计任务书 题目: 电子音乐盒的设计 课程:单片机课程设计 课程设计时间 2012年12月21 日至2012年1 月3日共2 周课程设计工作内容与基本要求(设计要求、设计任务、工作计划、所需相关资料)(纸张不够可加页) 1.设计要求 查阅资料,了解单片机控制单音喇叭发声原理;设计基于单片机的电子音乐盒;通过按钮可选择不同的音乐。 创新设计: 1、安装复位键,暂停、播放键; 2、有6首不同的音乐用程序编出可供选择。 2. 设计任务与要求 2.1系统硬件电路设计 根据该系统设计的功能要求选择所用元器件,设计硬件电路。要求用Proteus绘制整个系统电路原理图。 2.2软件设计 根据该系统要求的功能进行软件设计,绘制整个系统的软件流程图;根据流程图编写程序并汇编调试通过;列出软件清单,软件清单要求逐条加以注释。 2.3 Proteus仿真 用Proteus对系统进行仿真并进行软硬件调试。 2.4 编写设计说明书 内容包括任务书、设计方案分析、硬件部分设计、软件部分设计、调试结果整理分析、设计调试的心得体会等,字数不少于4000字;硬件部分设计要绘制整个系统电路原理图,对各部分电路设计原理做出说明;软件设计部分要绘制整个系统及各部分的软件流程图,列出程序清单,逐条加以注释,并在各功能块前

加程序功能注释。 3.工作计划 4.主要参考资料 单片机课程设计指导书皮大能北京理工大学出版社2010.7 8051单片机实践与应用吴金戎清华大学出版社2003.8 单片机技术基础教程与实践夏路易电子工业出版社2008.1 MCS-51单片机原理接口及应用王质朴北京理工大学出版社2009.11 基于Proteus的单片机系统设计与仿真实例蒋辉平机械工业出版社2009.7 指导老师签字: 日期:

课程设计-数字音乐盒

单片机课程设计-数字音乐盒 课程设计要求:1.利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲(最少三首乐曲,每首不少于30秒)2.采用LCD显示信息 3.开机时有英文欢迎提示字符,播放时显示歌曲序号(或名称) 4.可通过功能键选择乐曲,暂停,播放。 5.选作内容:显示乐曲播放时间或剩余时间 硬件电路:本设计中用到了89C51单片机,4*4键盘,蜂鸣器,16*2 LCD,七段 显示数码管LED。 原理说明:当键盘有键按下时,判断键值,启动计数器T0,产生一定频率的脉冲,驱动蜂鸣器,放出乐曲。同时启动定时器T1,显示乐曲播放的时间,并动LCD,显示歌曲号及播放时间。也可在LED显示歌曲号。 (1)硬件电路中用P1.0~P1.7控制按键,其中P1.0~P1.3扫描行,P1.4~P1.7扫描列。 (2)用P0.0~P0.7,P2.0~P2.7控制LED,其中P0.0~P0.7控制七段码a,b,c,d,e,f,g,用P2.0~P2.7为数码管位选信号。 (3)用,P2.0~P2.2作为LCD的RS,R/W,E的控制信号。用P0.0~P0.7作为LCD的D0~D7的控制信号。 (4)用P3.7口控制蜂鸣器。 (5)电路为12MHZ晶振频率工作,起振电路中C1,C2均为30pf。

电路图: 【试验时请仔细阅读后文说明!此图仅为我站制作,并不代表原作者意愿;若您制作成功,望在网络推广。】实验控制流程图如下:

S BIT P2.0 ;定义液晶显示端口标号 RW BIT P2.1 E BIT P2.2 ;******************************************** L50MS EQU 60H L1MS EQU 61H L250MS EQU 62H SEC EQU 65H MIN EQU 64H HOU EQU 63H ;******************************************* org 0000h ljmp main ORG 000BH LJMP TT0 ORG 001BH LJMP T1INT org 1000h main: ;-----------------------;液晶初始化 MOV SP,#70H MOV P0,#01H ;清屏 CALL ENABLE MOV P0,#38H ;8位,2行显示

基于fpga的eeprom设计

二线制I2C CMOS 串行EEPROM 的FPGA设计 姓名:钱大成 学号:080230114 院系:物理院电子系 2011年1月1日

一、课程设计摘要: (1)背景知识: A、基本介绍: 二线制I2C CMOS 串行EEPROM AT24C02/4/8/16 是一种采用CMOS 工艺制成的串行可用电擦除可编程只读存储器。 B、I2C (Inter Integrated Circuit)总线特征介绍: I2C 双向二线制串行总线协议定义如下: 只有在总线处于“非忙”状态时,数据传输才能被初始化。在数据传输期间,只要时钟线为高电平,数据线都必须保持稳定,否则数据线上的任何变化都被当作“启动”或“停止”信号。图1 是被定义的总线状态。· ①总线非忙状态(A 段) 数据线SDA 和时钟线 SCL 都保持高电平。 ②启动数据传输(B 段) 当时钟线(SCL)为高电平状态时,数据线(SDA)由高电平变为低电平的下降沿被认为是“启动”信号。只有出现“启动”信号后,其它的命令才有效。

③停止数据传输(C 段) 当时钟线(SCL)为高电平状态时,数据线(SDA)由低电平变为高电平的上升沿被认为是“停止”信号。随着“停在”信号出现,所有的外部操作都结束。 ④数据有效(D 段) 在出现“启动”信号以后,在时钟线(SCL)为高电平状态时数据线是稳定的,这时数据线的状态就要传送的数据。数据线(SDA)上的数据的改变必须在时钟线为低电平期间完成,每位数据占用一个时钟脉冲。每个数传输都是由“启动”信号开始,结束于“停止”信号。 ⑤应答信号 每个正在接收数据的EEPROM 在接到一个字节的数据后,通常需要发出一个应答信号。而每个正在发送数据的EEPROM 在发出一个字节的数据后,通常需要接收一个应答信号。EEPROM 读写控制器必须产生一个与这个应答位相联系的额外的时钟脉冲。在EEPROM 的读操作中,EEPROM 读写控制器对EEPROM 完成的最后一个字节不产生应答位,但是应该给EEPROM 一个结束信号。 C、3. 二线制I2C CMOS 串行EEPROM读写操作 ① EEPROM 的写操作(字节编程方式) 所谓EEPROM 的写操作(字节编程方式)就是通过读写控制器把一个字节数据发送到EEPROM 中指定地址的存储单元。其过程如下:EEPROM 读写控制器发出“启动”信号后,紧跟着送4 位I2C 总线器件特征编码1010 和3 位EEPROM 芯片地址/页地址XXX 以及写状态的R/W 位(=0),到总线上。这一字节表示在接收到被寻址的EEPROM 产生的一个应答位后,读写控制器将跟着发

数字音乐盒的设计与实现

课程设计报告 课程设计名称:微机系统综合课程设计课程设计题目:数字音乐盒的设计与实现

1总体设计方案 1.1题目介绍与要求 本次课程设计的任务是运用伟福Lab8000试验箱和keil软件设计并实现一个数字音乐盒,要求采用I/O产生一定频率的方波,从而驱动蜂鸣器发出不同的音调,演奏乐曲;并且需要采用七段数码管显示当前播放的歌曲序号和播放时间;还得通过数字键盘直接选择乐曲,控制选择上一曲和下一曲音乐,具有暂停和播放控制功能。 1.2设计思路 1.2.1音调的产生 频率的高低决定了音调的高低。音乐的十二平均率规定:每两个八度音(如简谱中的中音1和高音1)之间的频率相差一倍。在两个八度音之间又分为十二个半音。另外,音名A (简谱中的低音6)的频率为440Hz,音名B到C之间、E 到F之间为半音,其余为全音。由此可以计算出简谱中从低音1到高音1之间每 个音名对应的频率,所有不同频率的信号都是从同一个基准频率分频得到的。 要产生音频脉冲,只要算出某一音频的周期(1/频率),然后将此周期除以 2,即为半周期的时间。利用定时器计时这半个周期时间,每当计时到后就将输出脉冲的I/O反相,然后重复计时此半周期时间再对I/O反相,就可在I/O脚上得到此频率的脉冲。 利用51单片机的内部定时器使其工作在计数器模式MODE1下,改变计数值TH0及TL0以产生不同频率的方法。 此外结束符和休止符可以分别用代码00H和FFH来表示,若查表结果为00H,则表示曲子终了;若查表结果为FFH,则产生相应的停顿效果。 例如频率为523Hz,其周期T=1/523=1912us,因此只要令计数器计时956us/1us=956在每次技术956次时将I/O反相,就可得到中音DO(523Hz)。计数脉冲值与频率的关系公式如下:

基于FPGA芯片的最小系统设计

黑龙江大学本科生 毕业论文(设计)档案编码: 学院:电子工程学院 专业:电子信息工程 年级:2007 学生姓名:王国凯 毕业论文题目:基于FPGA 的电梯自动控制 系统设计

摘要 本文在介绍了在当前国内外信息技术高速发展的今天,电子系统数字化已成为有目共睹的趋势。从传统的应用中小规模芯片构成电路系统到广泛地应用单片机,直至今天FPGA 在系统设计中的应用,电子设计技术已迈人了一个全新的阶段。FPGA 利用它的现场可编程特性,将原来的电路板级产品集成为芯片级产品,缩小体积,缩短系统研制周期,方便系统升级,具有容量大、逻辑功能强,提高系统的稳定性,而且兼有高速、高可靠性。越来越多的电子设计人员使用芯片进行电子系统的设计,通过基于FPGA 电梯系统开发设计,说明了FAPG 芯片研究的动机和研究意义。 关键词 FPGA;电梯系统;FLEX10K;JTAG;模块设计

Ab s t ract This paper introduces the rapid development of information technology around the world today. Digitalized electronic systems have become the trend. From the traditional application of small and medium-chip circuitry to Microcontroller and FPGA application in system design, electronic design technology is stepping into a new field. By using its field programmable features, FPGA changes the original circuit board-level products to the chip-level integration products. Now FPGA has advantages of reduced the size, shorten development cycle, facilitated in system upgrades, highly capacity, strong logic functions, stable system and high speed. More and more electronic designers use FPGA to design electronic systems. This paper shows the motivation and significance of designing by FPGA through the elevator FPGA system design. Ke ywo r d FPGA; Mini-System; FLEX10K; JTAG;Module design

基于单片机的音乐盒设计-毕业设计

基于单片机的音乐盒设计 学生:XXX指导老师:XXX 内容摘要:本设计是一个基于AT89C51系列单片机的音乐盒,依据单片机技术原理,通过硬件电路制作以及软件编译,设计制作出一个多功能数字音乐盒。该音乐盒主要由按键电路、复位电路、时钟电路以及蜂鸣器组成。使用两个按键控制音乐盒,一个用来切换歌曲,另一个用来切换8路LED的变化花样,本音乐盒共有两首歌曲,花样灯花样共计3种。播放歌曲时,蜂鸣器发出某个音调,与之对应的LED亮起。本设计利用KEIL 编程软件对音乐盒源程序进行编程并调试,配合PROTEUS仿真软件对硬件进行仿真调试,节约了设计时间。 关键词:音乐盒 AT89C51 KEIL PROTEUS 音调

Design for AT89C51 digit music box Abstract:The digest this text has introduced the basic AT89C51 digit music box,According to AT89C51 principle,take it by hardware circuit and software compile to made a multifunction digit music box.this box main form button circuit rest circuit clock circuit and https://www.doczj.com/doc/004320557.html,e two button to control it,the one use to change music ,and the other one made the LED change the kind of light.this box had two songs,and LED had three kind of light.then the music has playing,the buzzer will take among of tone,meanwhile LED will give out light.the design on the basic of KEIL to compile and debugging this music box,at the same times match up PROTEUS to hardware going to simulation debugging,So save a lot of times. Keywords:music box AT89C51 KEIL PROTEUS Tone

基于单片机的电子音乐盒的设计与实现

课程设计报告设计名称基于单片机的电子音乐盒的设计与实现 学校陕西电子科技职业学院 学院电子工程学院 学生姓名滕一帆 班级1507 学号 2013062040311 指导教师聂弘颖 时间2017年09月22日

基于单片机的电子音乐盒的设计与实现 一、设计目的 为证明单片机可以通过软件程序控制硬件电路实现简单音乐播放器的功能,以此证明单片机的功能强大。 二、设计概述 本课题是一个基于51单片机的电子音乐盒,依据单片机控制技术原理,设计出一个可以播放多首音乐的数字音乐盒,通过数码管显示当前是第几首音乐,系统设计了三个按键,具体功能:S1:暂停/播放;S2:加一首(下一首);S3:减一首(上一首)。通过硬件电路制作以及以及软件编程,设计制作出一个多功能的电子音乐盒。 三、设计方案 在这个系统的设计中,单片机采用51兼容系列的STC89C52RC。有以下几部分构成:电源电路、单片机最小系统、发声系统、键盘控制电路、显示电路。经过论证后我确定的系统框图,如下图所示: 四、设计原理 1、原理 本系统由单片机系统,独立按键、数码管组成。利用I/O口产生一定频率的方波,驱动扬声器,发生不同的音调,从而实现乐曲的演奏。系统能通过功能键完成选择乐曲、播放、暂停、和复位的基本功能。在播放乐曲的同时LCD上显示所播放的歌曲序号,可以通过复位键使音乐盒从第一首歌曲重新播放,本系统成本低廉,功能强大

实用。 2、音乐基础简介 <1>音乐频率表 <2>音调表建立 由于单片机输出为方波输入信号,波形图如下: 高低电平各占1/2,根据单片机定时器工作原理。 定时时间=(满值-初值)X机器周期 初值=满值-定时时间/机器周期 =216-(1/f)X(1/2)X106 以低音11为例,初值为=216-(1/262)X(1/2)X106 =63627.6 =63628 同理得其他音调值,具体如下: Unsigned int code table[]={0,63628,63835,64021,64103,64260,64399,64523,64579,64684,64777,64 819,64898,64968,65030,65058,65110,65157,65178,65217,65252,65282}; <3>音乐表的建立 我们以两只老虎音乐为例子,然后我们就会得到该音乐表 Ucharcode music1[]={8,4,9,4,10,4,8,4,9,4,10,4,8,4,10,4,11,4,12,8,10,4,11,4,12,8,12,3,13,1,12, 3,11,1,10,4,8,4,12,3,13,1,12,3,11,1,10,4,8,4,9,4,5,4,8,8,9,4,5,4,8,8,0,0xff};

FPGA设计方案

FPGA课程设计 题目:全天候温度纪录仪的设计与FPGA实现 姓名: 学号: 院系:信息科学与工程学院 专业:计算机技术

摘要 本设计有效的克服了传统的数字温度计的缺点,采用自上而下的设计思路,绘制出了系统结构流程图,最后又在硬件上通过对其进行调试和验证。基于FPGA在Quartus II13.0软件下应用Verilog HDL语言编写程序,采用ALTRA公司Cyclone- IV系列的EP4CE40F23I7 芯片进行了计算机仿真,并给出了相应的仿真结果。该电路能够实现很好的测温功能。 关键字:数字温度计;FPGA;Quartus II130.;Verilog HDL;EP4CE40F2317 Abstract This design effectively overcomes the traditional digital thermometer’s wea knesses and takes a top-down approach to design flow chart of system, and fi nally pass the circuits to the hardware to debug and verify it. This design is b ased on FPGA using Verilog HDL language to write program in Quartus II sof tware, adopting EP4CE40F23I7 chip of Cyclone- IV series of ALTRA company for computer simulation and at the same time showing the corresponding sim ulation result. This circuit is able to carry out excellent temperature- measurem ent function. KeyWords:Digital thermometer;FPGA;Quartus II 13.0;Verilog HDL ;EP4CE40F2317

本科毕业设计(基于单片机的音乐盒的设计)

本科毕业设计(论文)基于单片机的音乐盒的设计 学院名称: 专业: 班级: 学号: 姓名: 指导教师姓名: 指导教师职称: 二〇一四年六月

江苏理工学院毕业设计说明书(论文) 基于单片机的音乐盒的设计 摘要:本次设计根据单片机技术原理,通过硬件电路制作以及软件编程,制作出一个基于AT89C52单片机的简易音乐播放器,该系统以单片机作为电路的主控核心,硬件电路主要由按键电路、复位电路、显示电路等模块组成。系统软件采用C语言进行编写,采用了模块化编程,其移植性较好。系统程序主要包括主程序、播放子程序、按键子程序、延时子程序等。该系统有歌曲播放、电子琴两种模式,可通过按键进行模式选择。 本论文包括系统方案的建立、硬件电路的具体设计及软件的程序实现等过程。并通过软硬件的联合调试,验证了设计方案的可行性。该系统最终实现了模式的选择、歌曲的选择及播放等功能,实验证明,该系统具有一定的实用性。 关键词:AT89C52单片机;软件编程;音乐盒 I

江苏理工学院毕业设计说明书(论文) The Design of Music Box of the Single Chip Microcomputer Abstract:This design according to principle of single chip microcomputer technology,through the hardware circuits and software programming,make a simple music player based on AT89C52 single chip microcomputer,the system with single chip microcomputer as control core of the circuit,the hardware circuit is mainly composed of keypad circuit,reset circuit,display circuit module .System software using C language to write,using the modular programming.the portablility is good.System program mainly includes the main program subroutine,played subroutines,buttons,delay subroutine.The system has a song play,keyboard two modes,can through the button to choose. This paper includes the establishment of the system,detailed design of hardware circuit and software program implementation process.And through the software and hardware joint debugging,verify the feasibility of design scheme.The system finally realize the pattern selection,selection of songs and play function,such as experiments show that the system has a certain practicality. Keywords: AT89C52 microcontroller,;Software programming;the music box II

基于FPGA的简易的ALU设计

本科毕业设计开题报告 题目:基于FPGA的简易的ALU设计 院(系): 班级: 姓名: 学号: 指导教师: 教师职称:讲师

xxxxx学院本科毕业设计开题报告 题目基于FPGA的简易ALU设计来源工程实际 1、研究目的和意义 从20 世纪中叶的无线电时代,到21 世纪以计算机技术为中心的智能化加信息化的现代电子时代,电子系统发生了巨大的变化。现代电子系统愈发庞大和复杂,很多应用要求能够在现场进行实时的高速运算,并对系统进行有效地控制。作为这一需求的解决方案,嵌入式计算机应用系统已成为现代电子系统的核心技术。 早期的嵌入式系统是将通用计算机经改装后嵌入到被测控对象去,实现数据采集、分析处理、状态显示、输出控制等功能。随着大规模集成电路技术的发展,中央处理器CPU、随机存取存储器RAM、只读存储器ROM、输入/输出端口I/O等主要的计算机功能部件可以集成在一块集成电路芯片上,这颗芯片就被称为单片机。与改装普通计算机相比,单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点,因此迅速成为最普及的嵌入式应用系统方案。 通常,我们要实现一些功能可以用单片机来完成,但是,用可编程逻辑FPGA同样可以实现。在计算机中,算术逻辑单元(ALU)是专门执行算术和逻辑运算的数字电路。ALU是计算机中央处理器的最重要组成部分,甚至连最小的微处理器也包含ALU作计数功能。此次我要完成的设计是基于FPGA的四位ALU算数逻辑单元设计。通过对ALU功能的拓展,来实现更快更好的运算功能,相信这一功能的实现将使运算功能更加简单、快捷、准确,从而提高我们今后的学习工作效率。 2、发展情况(文献综述) 算术逻辑单元(arithmetic logic unit,缩写ALU)是进行整数运算的结构。现阶段是用电路来实现,应用在电脑芯片中。 在计算机中,算术逻辑单元(ALU)是专门执行算术和逻辑运算的数字电路。ALU是计算机中央处理器的最重要组成部分,甚至连最小的微处理器也包含ALU作计数功能。在现代CPU和GPU处理器中已含有功能强大和复杂的ALU;一个单一元件也可能含有ALU。 1945年数学家冯诺伊曼在一篇介绍被称为EDV AC的一种新型电脑的基础构成的报告中提出ALU的概念。 早期发展:1946年,冯诺伊曼与同事合作为普林斯顿高等学习学院(IAS)设计计算机。随后IAS计算机成为后来计算机的原形。在论文中,冯诺伊曼提出他相信计算机中所需的部件,其中包括ALU。冯诺伊曼写到,ALU是计算机的必备组成部分,因为已确定计算机一定要完成基本的数学运算,包括加减乘除。于是他相信计算机应该含有专门完成此类运算的部件。 ①数字系统 ALU必须使用与数字电路其他部分使用同样的格式进行数字处理。对现代处理器而言,几乎全都使用二进制补码表示方式。早期的计算机曾使用过很多种数字系统,包括反码、符号数值码,甚至是十进制码,每一位用十个管子。以上这每一种数字系统所对应的ALU都有不同的设计,而这也影响了当前对二进制补码的优先选择,因为二进制补码能简化ALU加法和减法的运算。 ②可行性分析 绝大部分计算机指令都是由ALU执行的。ALU从寄存器中取出数据,数据经过处理将运算结果存入ALU输出寄存器中。其他部件负责在寄存器与内存间传送数据,控制单元控制着ALU,通过控制电路来告诉ALU该执行什么操作。 ③简单运算 大部分ALU都可以完成以下运算∶整数算术运算(加、减,有时还包括乘和除,不过成本

相关主题
文本预览
相关文档 最新文档