当前位置:文档之家› 编码与译码显示电路

编码与译码显示电路

编码与译码显示电路
编码与译码显示电路

编码与译码显示电路

一.实验目的

1.掌握中规模集成编码器及译码器的逻辑功能测试方法。

2.掌握编码器译码器的使用方法。

3.熟悉仿真工具Multisim的使用。

二.实验设备与器件

直流稳压电源,数字多用表,数字电路实验,三位二进制优先编码器74LS148,BCD七段译码器74LS247,二输入与非门74LS00,双四输入与非门74LS20,六反相器74LS04

三.实验图解

1.芯片功能测试

2测试74LS148的逻辑功能

3.灭零控制

4.病房呼叫系统

电子信息科学与技术刘兵2014117293

显示译码电路的设计

显示译码电路的设计 (朱开明.电子技术实训指导.清华大学出版社.2005.11 p153~165) 在数字电路设计中,很多地方都要用到数字显示,如计数器、频率计、时钟、计分牌等。显示器可用LED数码管和LCD液晶显示器。LED显示器亮度高,如果在环境亮度高的地方还可选用高亮度的LED显示器,所以,LED数码显示器是最常用的数字显示器。首先介绍译码和显示电路的设计和调试。 1.设计要求 输人8421 BCD码,显示对应的十进制数。 2.设计分析 (1)LED数码显示器 目前国内外生产的LED数码显示种类繁多,型号各异。按图形结构可分为数码管和符号管两种。如图1所示。其中“+”号管能显示出正“+”、负“一”号。“+1”符号管能显示“+1”或“一1”。“米”字管的功能最全,除能显示A~Z的26个英文字母外,还能显示+、一、×、÷几个运算符。七段显示器一般用来显示0~9。有DP的七段显示器可显示小数点。图2中a、b、c、d、e、f、g表示七个笔段,也对应七个外引脚。 图1 几种LED数码管结构图 图2 共阴和共阳数码显示器原理图和共阴外引脚 按一块显示器件所含显示数位多少,还可分为一位、二位和多位数码显示器。 (2)显示译码驱动器 显示译码并能直接驱动LED显示器的TTL电路如74LS47(OC、共阳),74LS48(共阴)等。如需计数和译码显示功能的可选取74LS143和74LS144等。74LS47是集电极开路(OC)电路,需外接上拉电阻。这里选用典型电路74LS48,其引脚功能如图3所示。电路功能如表1所示。

图3 74LS48引脚功能图 表1 74LS48电路功能 端为灯测试端,=0时,Ya~Yg全部输出高电平,可驱动共阴数码管七笔都亮。平时应使=1。 为灭零输人端,设置的目的是为了把不希望显示的零灭掉。 作输人使用时,称灭零输人控制端。只要加人灭灯控制信号=0,无论A3、A2、 A1、A0的状态是什么,都将被驱动的数码管熄灭。 作输出使用时,称灭零输出端。=0时表示A3、A2、A1、A0全为0,并且=0。用的输出信号去控制其他译码器的。 3.电路设计 (1)74LS48驱动显示译码器设计 用单独一块74LS48驱动共阴数码显示器电路如图4所示。 图4 数码显示电路

实验三 译码显示电路

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码输入端,a、b、c、 d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。 错误!未找到引用源。- 灯测试输入端,错误!未找到引用源。=“0”时,译码输出全为“1” 错误!未找到引用源。- 灭零输入端,错误!未找到引用源。=“0”时,不显示多余的零。 错误!未找到引用源。/错误!未找到引用源。-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。

数电实验 编码与译码显示电路

实验二:编码与译码显示电路 一:实验目的 1.掌握中规模集成编码器及译码器的逻辑功能测试方法。 2.掌握编码器译码器的使用方法, 3.熟悉仿真工具的使用。 二:实验设备与器件 直流稳压电源,数字多用表,数字电路实验箱,三位二进制优先编码器,七段译码器,二输入与非门,双四输入与非门,六反相器。 四:实验内容 1.测试电路: 通信工程2014117308 周童桐

2.多位显示电路,要求具有灭零功能。 3.依据题目设计电路并仿真。 题目:若将八路服务信号按轻重缓急安排优先级别后,作为医院病房的八个呼叫信号,在护士值班室放置数码管显示电路,这样,当病号按下呼叫按钮发出呼叫信号时,护士值班室显示相应呼叫号码,并产生提示声音,在护士的按下处理按钮后,电路又回到等待呼叫状态,等待新的呼叫,设计上述控制电路及声音提醒电路并测试结果并用报警电路报警。

真值表: K0 Y2 Y1 Y0 A3 A2 A1 A0 I0 K1 1 1 1 0 0 0 1 I1 K2 1 1 0 0 0 1 0 I2 K3 1 0 1 0 0 1 1 I3 K4 1 0 0 0 1 0 0 I4 K5 0 1 1 0 1 0 1 I5 K6 0 1 0 0 1 1 0 I6 K7 0 0 1 0 1 1 1 I7 K8 0 0 0 1 0 0 0 依据真值表列式并计算 化简后得: A0=Y0 A1=Y0Y1’+Y0’Y1 A2=Y0’Y1’Y2+Y0Y1’Y2’+Y1Y2’ A3=Y0’Y1’Y2’ 依据化简后,设计电路。 依据电路图进行仿真:

应用74LS148编码部分: 优先显示电路部分:蜂鸣器电路:

EDA设计38译码器

E D A设计38译码器-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

班级:通信13-3班 姓名:王亚飞 学号: 18 指导教师: 成绩: 电子与信息工程学院 信息与通信工程系

摘要 EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成为硬件描述语言的代表。随着社会经济和科技的发展,越来越多的电子产品涌如我们的日常生活当中,在日常生活中译码器起着不可忽视的作用。本设计就是运用VHDL语言设计的3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。 关键词:EDA;3-8译码器

1实验目的 1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。 2、初步掌握VHDL语言的常用语句。 3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。 2实验背景 VHDL的简介 VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为A I/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为A I/IEEE的A I/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。VHDL 的英文全写是:VHSIC(Very High eed Integrated Circuit) Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。 VHDL语言的特点 VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述语言(VHDL)的基本特征。

8位数码管动态显示电路设计.

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

实验五编码、译码、显示电路

实验五 编码、译码、显示电路 一、实验目的 1. 学习编码器原理及基本电路。 2. 熟悉七段译码器的逻辑功能和使用。 3. 掌握七段显示器的使用方法。 4. 进一步学习组合电路的应用。 二、实验用元器件 编码器74LS148×2 全加器74LS283×1 显示译码器4511×2 四2输入与非门74LS00×2 编码、译码、显示电路是由编码、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1. 编码器 实验中选用被广泛使用的74LS148集成8-3优先编码器。常用于优先中断系统、键盘编码等,引脚图如图2-1。共有9个输入引脚,一个使能端和8个编码输入,均为低电平有效,即输入“0”表示有输入,0~7输入的优先级 由低到高排列,优先级高的 输入有效时,优先级低的输入不起作用。输出为反码,如输入0号端有效时,如输出原码为“000”,实际输出“111”。功能见表2-1。可以将多片编码器扩展成更多二进制码,通过高位使能输出去控制低位编码器的使能输入,实现芯片之间的优先级,再将输出作相应处理,CS 是工作状态标志,如图2-2所示。 图2-1 74LS148的引脚图

表2-1 74LS148优先编码器的功能表 图2-2 优先编码器的扩展 2.全加器 实验中建议使用74LS283全加器,它将A0A1A2A3和B0B1B2B3相加,和由S0S1S2S3输出,C-1为进位输入,Co为进位输出。引脚图见图2-3。

图 2-3 74LS283全加器引脚图 图2-4 4511译码器 3. 译码器 这里所说的译码器是将二进制码译 成十进制数字符的器件。实验中选用的CD4511是一个BCD 码七段译码器,并兼有驱动功能,内部没有限流电阻,与数码管相连接时,需要在每段输出接上限流电阻,引脚排列见图2-4。表2—2是CD4511功能表, CD4511只能对0~9的数字译码,超出范围将无显示。 表3-2 CD4511功能表 4. LED 数码显示器 数码显示器采用八段发光二极管显示器,它可直接显示出译码器输出的十进制数。七段发光显示器有共阴接法和共阳接法两种:共阴接法就是把发光二极管的阴极都接在一个公共点 (接地),其引脚排列和内部原理如图2-5(a )所示, 配套的译码器为CD4511,

七段译码器显示电路

数字显示译码器 在数字系统中,常需要将数字、文字或符号等直观地显示出来。能够显示数字、文字或符号的器件称为显示器。数字电路中的数字量都是以一定的代码形式出现的,所以这些数字量要先经过译码,才能送到显示器去显示。这种能把数字量翻译成数字显示器所能识别的信号的译码器为数字显示译码器。 数字显示器有多种类型。按显示方式分,有字型重叠式、点阵式、分段式等。按发光物质分,有半导体显示器,又称发光二极管(LED)显示器、荧光显示器、液晶显示器、气体放电管显示器等。目前应用较广泛的是由发光二极管构成的七段数字显示器。 ①七段数字显示器 图6-53为发光二极管构成的七段数字显示器。它是将七个发光二极管(小数点也是一个发光二极管,共八个)按一定的方式排列起来,七段a、b、c、d、e、f、g(小数点DP)各对应一个发光二极管,利用不同发光段的组合,显示不同的阿拉伯数字。 (a)(b) 图6-53 七段数字显示器 (a)数字显示器(b)显示的数字 根据七个发光二极管的连接形式不同,七段数字显示器分为共阴极和共阳极接法两种。 (a)(b) 图6-54 七段数字显示器的内部接法 (a)共阳极(b)共阴极 图6-54(a)是共阳极接法,它是将七个发光二极管的阳极连在一起作公共端,使用时要接高电平。发光二极管的阴极经过限流电阻接到输出低电平有效的七段译码器相应的输出端。 图6-54(b)所示是共阴极接法,它是将七个发光二极管的阴极连在一起作公共端,使用时要接低电平。发光二极管的阳极经过限流电阻接到输出高电平有效的七段译码器相应的输出端。 改变限流电阻的阻值,可改变发光二极管电流的大小,从而控制显示器的发

实验四 译码显示电路

实验四译码显示电路 The Standardization Office was revised on the afternoon of December 13, 2020

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 三、实验步骤及结果 1、按表(二)测试74LS1940 测试结果略 2、实现四节拍顺序脉冲发生器 (1)实验电路图及74LS194功能表 图(一)表(二)74LS194功能表

(2)实验结果 (3)实验波形(Q3 Q2 Q1 Q0分别代表Q D Q C Q B Q A) Q3 Q3与Q2 Q3与Q1 Q3与Q0

3、按图(四)实现四位扫描译码显示电路。采用内容(2)顺序脉冲作为D s 信号。8421BCD 码用逻辑模拟开关输入。自行设计伪码灭灯电路,使正常输入BCD 码时输出为“1",伪码输入时灭灯。 (1) 设计伪码灭灯电路及其电路图 f(A)=(A3(A2’A1’)’)’ (2) 四位扫描译码显示电路原理图 A1A0 A3A2 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 0 0 0 0 10 1 1

(3)实验预期结果(LED显示)(由于实验箱损坏,实验时无法得到正确的LED数码管的显示数字,这里仅用预期结果表示) 4、自行设计电路在4联装LED数码管同时显示出4个不同的0-7的数字。 使用74LS48上的L1S(Gi)’(i=1,2,3,4)端口。要使第i个显示器显示i,接逻辑电路Yi,使得Yi只有在Ai表示i时为0,其他时候均为1,将之接为L1S(Gj)’=0(j=i,0表示有效),L1S(Gj)’=1(j≠i) 电路图如下:

数字电路——2-4译码器设计

目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (4) 3.1 建模 (4) 3.2 仿真波形 (5) 4 仿真结果分析 (7) 5 小结与体会 (8) 参考文献 (9)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

数电实验--译码显示电路

译码显示电路 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴), 段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD 码输入端,a、b、c、d、e、f、g——译码输出端,输出 “1"有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出

的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

实验五 编码译码显示

实验五 编码译码显示 一 实验目的 1了解编码,译码及数码显示器的工作原理; 2掌握组合逻辑电路的实验分析方法。 二 实验原理 编码,译码电路是数字系统常用的逻辑器件,将文字,数字,符号,状态,指令等编制成对应的二进制编码;用来完成边编码工作的数字电路称为编码器。编码器常用2^n ~n 线编码器; 译码,编码的逆过程,将多位二进制代码翻译出来的过程称为译码。对于n 位二进制代码,可翻译出2^n 个状态,译码器常用n~2^n 线译码器。 三 实验仪器 1. 多功能电路实验箱1台; 2. 数字万用表1台。 四 实验内容 1编码功能检验: 按图1搭接电路,令K7~K0分别作为I7~I0,Y2~Y0接逻辑显示器L2~L0;根据表1检验编码器功能。 2译码器功能检验: 按图16搭接电路,令K2~K0分别作为A2~A0,Y7’~Y0’接逻辑显示器L7~L0;根据表2检 I1'I2'I3'I4'I5'I6'I7' 图1 编码器逻辑电路

验74LS138译码器功能; 3. 译码显示器功能检验: 按图7搭接电路,令K3~K0分别作为A3~A0,根据表3检验译码显示器功能。 图7 显示译码器及显示器件检验 4.联锁器电路分析: 所谓联锁器即为电子锁,电路如图2所示,其输入为S1,S2,S3开关,报警和解锁输出分别为F1,F1.其中S1,S2,S3为单刀双掷开关,根据拨动可分别置”1” 或”0”.当F1=”1”,表示不报警,否则报警。当F2=”1”,表示解锁,否则闭锁。现要求: (1) 当连联锁器处于初始状态(S1=S2=S3=1), 则F1=1,F2=0,即闭锁不报警; (2) 试用所学的知识分析电路,找出解锁并不报警的开关顺序。 U1 L7L6L5L4L3L2L1L0

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路 7段数码管又分共阴和共阳两种显示方式。如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。发光二极管的工作电压一般在1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。 BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

七段显示译码器电路设计

题目:七段显示译码器电路设计专业:生产过程自动化专业 班级:生产过程0901 姓名: 学号: 指导老师:杨旭

目录 第一节绪论…………………………………………………………………………….. 1.1本设计的任务和主要内容……………………………………………………………….. 1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计………………………………………………………………… 2.1BCD译码器选择与设计……………………………………………………………………. 2.2LED显示器的设计…………………………………………………………………………… 2.3总的设计……………………………………………………………………………………第四节设计总结………………………………………………………………………… 第一节绪论 本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。最后把BCD译码器或LED显示器组成了的

装置就具有了显示和译码的功能。此七段译码器也就成功了。 1.1设计的任务和本主要内容 1)运用LED显示器或BCD译码器实现一定的功能 2)写出详细的实验报告 1.2基本工作原理及原理框图 基本工作原理及原理框图如下: 第二节硬件的设计 BCD译码器选择与设计 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。 LED数码管有共阳、共阴之分。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

编码译码集成电路设计

编码译码集成电路 VD5026,VD5027是CMOS大规模数字集成电路(见图1)。前者是编码器,后者是译码器。他们组合应用起来构成一个发射—接收数字编译码系统。 VD5026编码器是一种8位编码发射器。它的第1~8脚是编码的输入端,每个输入端可以有3种状态,即“0”、“1”或“开路”,其中“0”表示为低电平,“1”表示为高电平,因此8个脚可以组成38=6561个不同的编码。如果需要更多的编码,可将输入端改为4态连接方式,这时第1脚是第4种状态的公共连接脚,第2脚~第8脚与第1脚连接时为第4种状态。所以第2脚~第8脚都可以有4种状态,即“0”、“1”、“开路”、“接1脚”。在这种情况下可以组成47=16384个编码。第10脚~第13脚也可作为编码地址线,与第1~第8脚联合起来组成12位编码地址线,这时编码数可高达411=4194304个。本文要介绍的是VD5026与VD5027配合应用,VD5026的第10~ 第13脚用作数据输入线,根据需要这几个脚可以置“0”或置“1”。第14脚是发射指令端,当此脚接地时,VD5026输出端则发出一组编码脉冲。第15脚、第16脚是一个内置振荡器,外接几十到几百千欧的电阻即可产生振荡,振荡频率为fosc=1600/R(KHz),式中R为外接电阻,单位为千欧。第17脚是编码输出端,第18脚、第9脚分别是电源的正、负极。

图1 VD5027接收解码器有相应于VD5026的12位信息。第1脚~第8 脚是地址线。当VD5026发出的地址编码与VD5027预置的编码相同时,则在VD5027的第10脚~13脚有数据输出,该输出信息与VD5026的第10~第13脚所置的数据相同。第14脚为输入端,第15脚、第16脚是振荡器,外接电阻值应与VD5026完全相同。第17脚是输出端。编码器VD5026发射时,如果密码相同,VD5027就会输出高电平。VD5026、VD5027的管脚排列见图2。附表列出了他们的电气特性参数,供大家参考。 该编译码集成电路工作电压范围较宽,可以在2~6V范围内正常工作,而且耗电极小,静态电流仅有1uA。集成电路内部含有振荡电路,不用再外加晶振。它的外围电路也很简单,也容易与射频、红外线、

FPGA 显示译码电路实验报告

上海电力学院 实验报告 实验课程名称: FPGA应用开发实验实验项目名称:显示译码电路 班级: 姓名:学号: 成绩:________________

一、实验目的 1.实现常见英语字母显示。 2.实现十六进制计数显示。 3.加深PLD设计的过程,并比较原理图输入和文本输入的优劣。 二、实验原理 (1)显示简单字符 七段数码管显示电路如下图所示: 参考原理图: 图中包含一个七段解码器模块,c2~c0是解码器的3个输入,当输入值不同时,输出不同的字符。如表中所示,当输入值为100~111时,输出空格,即数码管全暗。七段数码管的不同段位用数字0~6表示,注意七段数码管是共阳极的,即各管段输入低电平时,数码管亮;否则数码管暗。 (2)显示0~9数字 在完成简单字符显示电路之后,设计一个用于显示0~9数字的七段数码管电路。电路图如下图所示,c3~c0是七段数码器的输入,当输入0000~1001时,则输出0~9,如表中所示;当输入1010~1111时,输出空格。 参考原理图:

(3)循环显示4个字符 电路的工作原理是,输入端U、V、W和X的输入值分别是000、001、010和011,通过s1和s0选择四个输入端其中一个作为七段解码器的输入值,从而显示H、L、E和O任一字符。 参考原理图: 三、实验步骤 (1)显示简单字符 <1>VHDL硬件描述语言为:

<2>功能仿真: <3>时序仿真: <4>引脚分配: <5>程序下载:

之后在DE2上验证,拨动开关,能显示对应的字母。(2)显示0~9数字 <1>VHDL硬件描述语言为: <2>功能仿真: <3>时序仿真:

完整word版EDA7段数码显示译码器设计精

EDA 技术实验报告 实验项目名称:7段数码显示译码器设计 实验日期:2012.06.04 实验成绩: 实验评定标准: 1)实验程序是否正确A()B()C() 2)实验仿真、结果及分析是否合理A()B()C() 3)实验报告是否按照规定格式A()B()C() 一、实验目的 学习7段数码显示译码器设计,学习VHDL的CASE语句应用及多层次设计方法。 二、实验器材 QuartusII7.2软件 三、实验内容(实验过程) (一). 1、首先设计一个2选1的数据选择器 (1)打开软件,选择菜单file—>new,在弹出的new对话框中选择Device Design Files 的VHDL File项,按OK键后进入VHDL文本编辑方式。 根据7段数码显示译码器的功能编辑相应的源程序。如下: library ieee; use ieee.std_logic_1164.all; entity decl7s is port (a:in std_logic_vector(3 downto 0; led7s:out std_logic_vector(6 downto 0; end decl7s; architecture one of decl7s is

begin process(a begin case a is when when when0=>led7s<=A1011; when1=>led7s<=@1111; when0=>led7s<=H0110; when1=>led7s<=H1101; when0=>led7s<=I1101; when1=>led7s<= when@0=>led7s<=I1111; when@1=>led7s<=H1111; whenA0=>led7s<=I0111; whenA1=>led7s<=I1100; whenH0=>led7s<=1001; whenH1=>led7s<=A1110; whenI0=>led7s<=I1001; whenI1=>led7s<=I1001; when others =>null; end case; end process; end one; 2、对该VHDL语言进行编辑后,无误后进行仿真。点击相应的编辑按钮用来检查源程序的正确性。 3.、编译和仿真 仿真前要新建波形文件:filenewother filesvector waveform file 点击OK后在出现的新建波形文件左边空白栏点击鼠标右键,选择insertinsert node or bus.在出现的对话框中直接点击node finder。之后,在出现的对话框中选择list。当左边的nodes found栏中出现设计文件的输入输出端口后,点击》加入到右边的selected node 中,点击OK。 在完成7段数码显示译码器源程序的编辑后,执行Processingstart compilation命令,对decl7s.vhd进行编译。 下图是7段数码显示译码器对应的仿真波形:

计数、译码和显示电路

实验计数、译码和显示电路 一、实验目的: 1. 掌握二进制加减计数器的工作原理。 2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使用方法。 二、实验准备: 1.计数: 计数是一种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中

图3.11.2 另外一种可预计的十进制加减可逆计数器CD4510,用途也非常广,其引脚排列如图3.11.3所示,其中,E P 为预计计数使能端,in C 为进位输入端, 1P ~4P 为预计的输入端,out C 为进位输出端,U /D 为 加减控制端,R 为复位端,CD4510输入、输出间的 逻辑功能如表所示。 表3.11.2: 。 2. 译码与显示: 十进制计数器的输出经译码后驱动数码管,可以显示0~9十个数字,CD4511是BCD~7段译码驱动集成电路,其引脚排列如图3.11.4所示。LT 为试灯输入,BI 为消隐输入,LE 为锁定允许输入,A 、B 、C 、D 为BCD 码输入,a~g 为七段译码。CD4511的逻辑功能如表所示。 LED 数码管是常用的数字显示器,分共阴和共阳两种, BS112201是共阴的磷化镓数码管,其外形和 内部结构如图3.11.5所示。

图3.11.5 三、计算机仿真实验内容: 1. 计数10的电路: (1).单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Component”栏中选取4093BD和4017BD各一只,如图3.11.6所示,将它们放置在电子平台上。

图3.11.6 (2).单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“Source”按钮,从弹出的对话框“Family”栏中选“POWER_SOURCES”,再在“Component”栏中选取“VDD”和地线,将它们调出放置在电子平台上。 (3). 双击“VDD”图标,将弹出如图3.11.7所示对话框,将“V oltage”栏改成“10”V,再点击下方“确定”按钮退出。 图3.11.7

相关主题
相关文档 最新文档