当前位置:文档之家› 蜂鸣器流水灯数码管显示作息时间控制单片机课程设计

蜂鸣器流水灯数码管显示作息时间控制单片机课程设计

蜂鸣器流水灯数码管显示作息时间控制单片机课程设计
蜂鸣器流水灯数码管显示作息时间控制单片机课程设计

一、课程设计目的

《单片机原理及应用》课程设计是一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生初步体验单片机应用系统的设计过程、设计要求、完成工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。

通过课程设计,应能加强学生如下能力的培训:

(1)独立工作能力和创造力;

(2)查阅图书资料,产品手册和各种工具书的能力;

(3)工程绘图的能力;

(4)编写技术报告和编制技术资料的能力

(5)综合运用专业及基础知识,解决实际工程技术问题的能力;

二、设计要求

2.1总体要求

(1) 独立完成设计任务

(2) 绘制系统硬件总框图

(3) 绘制系统原理电路图

(4) 制定编写设计方案,编制软件框图,完成详细完整的程序清单和注释;

(5) 制定编写调试方案,编写用户操作使用说明书

(6) 写出设计工作小结。对在完成以上文件过程所进行的有关步骤如设计思想、指标论证、方案确定、参数计算、元器件选择、原理分析等作出说明,并对所完成的设计作出评价,对自己整个设计工作中经验教训,总结收获和今后研修方向。

2.2 具体要求

本次工程实践的校内部分主要以单片机为基础,进行单片机软件编程,目的是为了提高学生的软件编程和系统设计能力,整个设计系统包括两个部分,硬件及软件部分,硬件部分已经制作成功,学生只需要掌握其原理和焊接相应的元器件,掌握元器件的辨别和元器件的作用以及应用场所即可,另外对所焊接的电路还需要进行仔细的检查,判断是否有焊接错误的地方或者短路的地方,对出现的异常情况要能够根据现象判别原因,并具备解决问题的能力,从而切实提高学生的硬件电子电路的分析、判断能力。

软件编程是本次工程实践的重要环节。在为期两周的工程实践中,将占据主要时间,学生要完成的软件编程任务主要包括以下几点:

1)、熟悉Keil C51编程平台及相关编程软件

2)、编写、调试蜂鸣器、继电器动作、方波程序并进行软硬件联调

3)、编写、调试LED流水灯(循环显示)程序并进行软硬件联调

4)、编写、调试键盘扫描子程序并进行软硬件联调

5)、编写、调试数码管动态扫描程序并进行软硬件联调

6)、电子钟设计(包括键盘、时钟、显示等)

7)、作息时间控制系统设计(包括键盘、显示、时钟、报警等)

8)、智能交通灯控制系统设计

9)、车速里程测量、显示设计

三、设计内容及方法

单片机原理及其应用课程设计通常选择一般常见、常用的简单应用装置或对象进行微机控制。所涉及的系统可以实际制作,也可以实验室模拟,具体步骤和内容如下:

3.1设计准备

认真研究设计任务书,明确设计要求、条件、内容、和步骤;通过阅读有关

资料,了解设计对象;复习课程有关内容,熟悉有关单元电路的设计方法和设计步骤;搜集、分析、消化相关资料、软件等,掌握微型计算机应用系统软件的设计方法;准备好设计需要的图书、资料和工具;拟定设计计划等。

3.2功能设计及系统总体设计

要求学生有创新精神和创新意识,分析所掌握的资料,了解设计对象的功能。在充分考虑功能设计后,进行总体设计,以功能、结构为标,制定总体方案,规划硬、软件功能分配等;初步确定关键元器件地选择。

四、硬件电路总体设计

4.1 硬件总框图

原理说明:

本电路以单片机AT89C52为主控电路,分别连接按键控制扫描模块、时钟模块、数码显示模块、LED 显示模块、扬声器模块。首先,按键扫描模块的四个按键分别用来控制数码显示、LED 显示和扬声器工作,P0口用来控制其段选以显示相应的数值。P1口

控制数码管的位选,以显示相应的数值。在其位选控制部分,采用了9012型的三极管,要求当P1口输出低电平时,位选成功,使得该位选的数码管亮,数码管显示时分通过按键1控制。P2口作为输出口,用来控制LED 的亮灭,要使其亮只需要让P2.0-P2.7口保持低电平 就可以使8个灯亮起来。同时通过P3.4端控制蜂鸣器发生,当P3.4输出低电平时候使蜂鸣器发出声音,按下按键3时使蜂鸣器发声。最后通过按按键4实现作息时间控制的功能。

主控电路

按键控制扫描模块

DS1302实时时钟模块 数码管显示模块

LED 显示模块

扬声器模块

4.1.1 主控电路

本次课程设计中主要是设计一个以作息时间控制为主的多功能控制系统。该设计中我们主控电路部分采用了单片机AT89C52芯片来实现这些功能,AT89C52是一个低电压,高性能CMOS的8位单片机,片内含8KB的可反复擦写的Flash只读程序存储器和256B的随机存取数据存储器(RAM)。其引脚如下图所示:

图1.AT89C52引脚图

VCC:供电电压。

GND:接地。

P0口(P0.0-P0.7):P0口来控制数码管的段选,以显示相应的数值。

P1口 (P1.0-P1.7):P1口用来控制数码管的位选,以达到动态显示的效果。P2口 (P2.0-P2.7):P2口来控制LED的亮灭。

P3口 (P3.0-P3.7):P3.0为RXD(串行输入口),P3.1为TXD(串行输出口),P3.2为/INT0(外部中断0),P3.3为/INT1(外部中断1),P3.4为T0(记时器0外部输入),

P3.5为T1(记时器1外部输入),P3.6为/WR(外部数据存储器写选通),P3.7为/RD(外部数据存储器读选通)。

XTAL1(19 脚)和XTAL2(18 脚)为振荡器输入输出端口,外接12MHz 晶振。RST/Vpd(9 脚)为复位输入端口,外接电阻电容组成的复位电路。VCC (40 脚)和VSS(20 脚)为供电端口,分别接+5V电源正负端。P0~P3 为

可编程通用I/O 脚,其功能用途由软件定义。

4.1.2 按键控制扫描模块

按键用于控制数码显示、LED显示、扬声器等模块的工作。通过扫描按键是否按下,来设定各模块的工作情况,使各模块可以在按键的控制下,有序地进行工作。设计中使用单个按键实现单个功能,属于较为简单的控制方式.

图2.按键输入电路

在多功能系统设计的实验中中我们使用四个按键分别与单片机的P1.4、P1.5、P1.6、P1.7相连,按键1控制数码管显示、按键2控制LED流水灯、按键3控制蜂鸣器发声,按键4控制作息时间。通过按下相应的按键来处理相应的程序。

4.1.3 DS1302实时时钟模块

图3.DS1302模块电路图

DS1302 是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年

补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。DS1302是DS1202的升级产品,与DS1202兼容,但增加了主电源/后背电源双电源引脚,同时提供了对后背电源进行涓细电流充电的能力。

DS1302与CPU的连接:实际上,在调试程序时可以不加电容器,只加一个32.768kHz 的晶振即可。只是选择晶振时,不同的晶振,误差也较大。

图4. Ds1302引脚图

其中Vcc1为后备电源,VCC2为主电源。在主电源关闭的情况下,也能保持时钟的连续运行。DS1302由Vcc1或Vcc2两者中的较大者供电。当Vcc2大于Vcc1+0.2V时,Vcc2给DS1302供电。当Vcc2小于Vcc1时,DS1302由Vcc1供电。X1和X2是振荡源,外接32.768kHz晶振。RST是复位/片选线,通过把RST输入驱动置高电平来启动所有的数据传送。RST输入有两种功能:首先,RST接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST提供终止单字节或多字节数据的传送手段。当RST为高电平时,所有的数据传送被初始化,允许对DS1302进行操作。如果在传送过程中RST置为低电平,则会终止此次数据传送,I/O引脚变为高阻态。上电运行时,在Vcc≥2.5V之前,RST必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。I/O为串行数据输入输出端(双向),SCLK始终是输入端。

如图2所示DS1302 控制字节的最高有效位(位7)必须是逻辑1,如果它为0,则不能把数据写入DS1302中,位6如果为0,则表示存取日历时钟数据,为1

表示存取RAM数据;位5至位1指示操作单元的地址;最低有效位(位0)如为0表示要进行写操作,为1表示进行读操作,控制字节总是从最低位开始输出。在控制字指令输入后的下一个SCLK时钟的上升沿时,数据被写入DS1302,数据输入从最低位(0位)开始。同样,在紧跟8位的控制字指令后的下一个SCLK脉冲的下降沿,读出DS1302的数据,利用单片机的外部中断来处理中断系统通过数码管显示出时间。

4.1.4 数码管显示模块

设计中采用四位共阳极数码管,共阳极是指其公共端接正极,通过单片机AT89C52的P1口控制其位选,以达到动态显示的效果,再通过P0口,控制其段选以显示相应的数值。在其位选控制部分,采用了一个9012型三极管,要求当P1口输出低电平时,位选成功。

图5.数码管显示电路

硬件电路中,数码管显示的小时和分.小时通过按键2控制,分通过按键3控制。

数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数字,因此根据数码管的驱动方式的不同,可以分为静态式和动态式两类。

①静态显示驱动:静态驱动也称直流驱动。静态驱动是指每个数码管的每一个段码都由一个单片机的I/O端口进行驱动,或者使用如BCD码二-十进制译码器译码进行驱动。静态驱动的优点是编程简单,显示亮度高,缺点是占用I/O 端口多,如驱动5个数码管静态显示则需要5×8=40根I/O端口来驱动,要知

道一个89S51单片机可用的I/O端口才32个呢:),实际应用时必须增加译码驱动器进行驱动,增加了硬件电路的复杂性。

②动态显示驱动:数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。

4.1.5 LED显示模块

LED(Light Emitting Diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个“P-N结”。当电流通过导线作用于这个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。

图6. LED显示电路

本次设计中通过按键2来控制其流水显示,打开电源后按下按键2流水灯模块有效。由于电路中LED为共阳极,故控制流水灯显示的P2口应为低电平时才有效。

从原理图中可以看出,如果要让接在P2.0口的LED1亮起来,那么只要把P2.0口的电平变为低电平就可以了;相反,如果要接在P2.0口的LED1熄灭,就要把P2.0口的电平变为高电平;同理,接在P2.1~P2.7口的其他7个LED 的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。

我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,@A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。在此我们还应注意一点,由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。

4.1.6 扬声器模块

扬声器模块通过按键3来实现。要求扬声器能够发出连续,断续的声音及音乐,我们可以选择一个蜂鸣器来实现这些功能。

图7. 扬声器电路

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型。下面我们以电磁式蜂鸣器为例来说明它的工作原理:电磁式蜂鸣器由振荡器、电磁线圈、磁铁、振动膜片及外壳等组成。接通电源后,振荡器产生的音频信号电流通过电磁线圈,使电磁线圈产生磁场。振动膜片在电磁线圈和磁铁的相互作用下,周期性地振动发声,当输出高电平时,三极管截止,蜂鸣器的两引脚间的直流电压接近于0V,没有电流流过线圈,蜂鸣器不发声;当输出低电平时,三极管导通,使蜂鸣器的两个引脚间获得将接近+5V的直流电压,这样蜂鸣器的电流形成回路,发出声音。因此,我们可以通过程序控制IO口输出的电平来控制蜂鸣器是否发出声音,实现各种可能音响的产生。

在这个设计中是通P3.4口控制其发声的。由于P3.4与ds1302是连在一起的,当P3.4口输出低电平时蜂鸣器发出声响。故只要ds1302工作,就可以设定使扬声器发出声音。

4.2 硬件原理图

五、软件流程框图

5.1、流程框图 5.1.1 主程序流程图

Y

Y

Y

Y

P1口置初值0F0H

调用数码管显示程序

调用LED 显示子程序

调用作息时间控制程序

KEYa 按下?

KEYb 按下?

KEYc 按下?

KEYd 按下?

调用蜂鸣器发声程序

开始

N

5.1.2 蜂鸣器流程图

N

Y

开始

循环时间的初值设置

P3.4端口输出设置

延时0.2s

P3.4端口取反

延时0.2s

循环结束?

返回主程序

5.1.3 流水灯程序流程图

Y Y

开 始

循环初始化

表头首指送地址

将寄存器A 清零

N N

返回主程序

表中花样送A

显示并延时

花样是否结到花样结束标

5.1.4 时间显示流程图

5.1.5 作息时间控制流程图

作息时间控制的设计是比较简单的,其基本思路是我们设置扬声器的预响时间,然后通过DS1302实时时间的读取与预响时间做比较,如果两者相同那么扬声器发声,如果两者不相同那么返回DS1302实时时间继续读取,直到与扬声器预响时间相同扬声器发声,然后通过延时关闭扬声器。

Y

开始

扬声器预响时间设置

DS1302实时时间读取

两时间是否 相同?

扬声器发声

N

延时关闭扬声器

5.2 完整的程序清单及注释

主程序清单:

ORG 0000H

AJMP MAIN

ORG 0100H

MAIN:

MOV SP,#60H

JNB P1.4,K1CHECK

JNB P1.5,K2CHECK

JNB P1.6,K3CHECK

JNB P1.7,K4CHECK

SJMP MAIN

K1CHECK: ;去抖动,按下KEYA,跳转到KEYA子程序JB P1.0,KEYA

SJMP KEYA

KEYA:

MOV SP,#70H ;给堆栈指针赋初值

MOV R2,#08H ;蜂鸣器响声计数

START0:

SETB P3.4 ;P3.4口置高电平

LCALL DELAY ;调用延时子程序

CLR P3.4 ;P3.4口取反

LCALL DELAY

DJNZ R2,START0 ;判断计数是否结束,否跳到START0处

SJMP MAIN ;返回主程序

DELAY: ;延时子程序

MOV R7,#02

DELAY0:

MOV R6,#200

DELAY1:

MOV R5,#248

DJNZ R5,$

DJNZ R6,DELAY1

DJNZ R7,DELAY0

RET

K3CHECK:

JB P1.6,KEYC

SJMP KEYC

K4CHECK:

JB P1.7,KEYD

SJMP KEYD

END

。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。蜂鸣器。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。

K3CHECK: ;去抖动,按下KEYA,跳转到KEYA子程序JB P1.4,KEYA

SJMP KEYA

KEYA:

MOV SP,#70H ;给堆栈指针赋初值

MOV R2,#0FFFFH ;蜂鸣器响声计数

START0:

SETB P3.4 ;P3.4口置高电平

LCALL DELAY ;调用延时子程序

CLR P3.4 ;P3.4口取反

LCALL DELAY

DJNZ R2,START0 ;判断计数是否结束,否跳到START0处

SJMP MAIN ;返回主程序

DELAY: ;延时子程序

MOV R7,#02

DELAY0:

MOV R6,#12

DELAY1:

MOV R5,#75

DJNZ R5,$

DJNZ R6,DELAY1

DJNZ R7,DELAY0

RET 。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。流水灯。。。。。。。。。。。。。。。。。。。。。。。。。。。。

K2CHECK: ;去抖动,按下KEYB,跳转到KEYB子程序JB P1.5,KEYB

SJMP KEYB

KEYB:

MOV SP,#80H

MOV R2,#18H ;LED循环闪亮三次

MOV A,#0FEH ;A中赋初始值

LOOP:

MOV P2,A ;流水灯闪亮

LCALL DELAY3

RL A ;A中初值左移

DJNZ R2,LOOP

MOV A,#0FFH ;三次结束后,熄灭所以LED灯

MOV P2,A

SJMP MAIN ;返回主程序

DELAY3: ;延时子程序

MOV R7,#20

DELAY4:

MOV R6,#125

DELAY5:

MOV R5,#255

DJNZ R5,$

DJNZ R6,DELAY5

DJNZ R7,DELAY4

RET

。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。数码管显示。。。。。。。。。。。。。。。。。。。。。。。。。。。。。

;数码显示子程序

DISPLAY:

MOV DPTR,#DIG_CODE

;显示分钟

MOV P1,#11110111b

MOV A,MIN

ANL A,#0FH

MOVC A,@A+DPTR

MOV P0,A

LCALL DIS_DELAY

MOV P1,#11111011b

MOV A, MIN

SWAP A

ANL A,#07H

MOVC A,@A+DPTR

MOV P0,A

LCALL DIS_DELAY

;显示小时

MOV P1,#11111101b

MOV A,H

ANL A,#0FH

MOVC A,@A+DPTR

MOV P0,A

LCALL DIS_DELAY

MOV P1,#11111110b

MOV A,H

SWAP A

ANL A,#03H

MOVC A,@A+DPTR

MOV P0,A

LCALL DIS_DELAY

RET

;***************作息时间控制****************************

KEY4:

MOV SP,#60H

MOV TMOD,#10H ;初始化定时器及中断

SETB ET1 ;开定时器1中断

SETB EA

SETB TR1 ;启动定时器1

T1INT:

JNB TF1,T1INT

SJMP K

LJMP START0

LCALL SETDS1302

LCALL GET1302

LCALL DISPLAY

MOV R3,#25

MOV TMOD,#01H

MOV TH0,#70H ;置定时器初值(7000H=28672)

MOV TL0,#00H ;定时(216-28672)*12/11.0592=40000us=40ms SETB TR0 ;启动定时器0

LP1: JBC TF0,LP2 ;查询计数溢出

SJMP LP1 ;未到40 ms继续计数

LP2: MOV TH0,#0B8H ;重新置定时器初值

MOV TL0,#00H

LCALL DISPLAY ;显示

DJNZ R3,LP1 ;未到1 S继续循环

LCALL GET1302 ;每过1s从DS1302读取一次时间 Mov r3,#25

SJMP lp1

;数码显示子程序

DISPLAY:

MOV DPTR,#DIG_CODE

;显示小时

MOV P1,#11111101b

MOV A,H

ANL A,#0FH

tc蜂鸣器流水灯数码管显示作息时间控制单片机课程设计(2)

1 绪论 1.1课程设计目的 《单片机原理及应用》课程设计是一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生初步体验单片机应用系统的设计过程、设计要求、完成工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。通过课程设计,应能加强学生如下能力的培训: 1、独立工作能力和创造力; 2、查阅图书资料,产品手册和各种工具书的能力; 3、工程绘图的能力; 4、编写技术报告和编制技术资料的能力; 5、综合运用专业及基础知识,解决实际工程技术问题的能力。 1.2 设计要求 1.2.1总体要求 (1) 独立完成设计任务 (2) 绘制系统硬件总框图 (3) 绘制系统原理电路图 (4) 制定编写设计方案,编制软件框图,完成详细完整的程序清单和注释; (5) 制定编写调试方案,编写用户操作使用说明书 (6) 写出设计工作小结。对在完成以上文件过程所进行的有关步骤如设计思想、指标论证、方案确定、参数计算、元器件选择、原理分析等作出说明,并对所完成的设计作出评价,对自己整个设计工作中经验教训,总结收获和今后研修方向。 1.2.2 具体要求 本次工程实践的校内部分主要以单片机为基础,进行单片机软件编程,目的是为了提高学生的软件编程和系统设计能力,整个设计系统包括两个部分,硬件及软件部分,硬件部分已经制作成功,学生只需要掌握其原理和焊接相应的元器件,掌握元器件的辨别和元器件的作用以及应用场所即可,另外对所焊接的电路还需要进行仔细的检查,判断是否有焊接错误的地方或者短路的地方,对出现的异常情况要能够根据现象判别原因,并具备解决问题的能力,从而切实提高学生的硬件电子电路的分析、判断能力。 软件编程是本次工程实践的重要环节。在为期两周的工程实践中,将占据主要时间,学生要完成的软件编程任务主要包括以下几点: (1)熟悉Keil C51编程平台及相关编程软件 (2)编写、调试蜂鸣器、继电器动作、方波程序并进行软硬件联调 (3)编写、调试LED流水灯(循环显示)程序并进行软硬件联调 (4)编写、调试键盘扫描子程序并进行软硬件联调

单片机控制LED及蜂鸣器课程设计报告

单片机设计报告 课程单片机课程设计 设计题目 LED灯及蜂鸣器 设计题目: 一、要求 1.了解LED显示流水灯的原理。 2.能够在LED上显示和控制蜂鸣器的工作。 3.熟悉掌握keil软件的使用。 二、分析 本设计使用AT89C52RC做为主控制模块,利用简单的外围电路来驱动LED蝴蝶。设计分为三个模块:单片机控制模块,输出显示模块和驱动模块,单片机控制模块以单片机为核心,以软件KEIL编程实现信号输出,以驱动LED及蜂鸣器为目的。 三、设计 1、硬件方面 (1)、LED驱动模块 图文显示有静态和动态两种方案,本设计中静动态都用到了。动态扫描的意思简单的说就是轮流点亮。具体就图案来说,把内部同行的发光管的阳极相连在一起,先送出对应行的发光管亮灭的数据并锁存,然后选通其它行使其燃亮相同的时间,然后熄灭。反复循环。 蜂鸣器的控制则是加入三极管接在VCC,单片机的第20引脚和负极上,以此来控制蜂鸣器的工作。 (2)、硬件设计 实验板上设计一个蝴蝶状的LED显示,可用于简单的图形图像。蝴蝶的图案是由74个LED绿灯、8个红灯、10个黄灯拼接而成。 其中绿灯直接接到正负极上,黄灯和红灯接到单片机的P2口,来控制其闪动。 2、软件编程(包括流程图、完整的汇编源程序及其注释) 1.电路图 本软件要求实现如下要求:外圈绿灯亮度明亮,红灯和黄灯都不停地闪动,蜂鸣器自动播放歌曲。 电路图如下:

2.主程序 本设计的系统软件能使系统LED各点亮度均匀,充足,可显示清晰图案,并且闪动。 系统主程序开始后,首先是对系统环境初始化,包括设置串口,中断和端口;然后闪动红黄灯,由于单片机没有停机指令,所以可以设置系统程序不断循环。 3.序代码如下: #include #include #include #include #define uchar unsigned char #define uint unsigned int #define DY_PORT P2 //设置LED连接的I/O组 #define DY_SPEED 100 //设置每一个明亮级的停留时间(值域: 0~65535) struct music_type { uchar tone; uchar delay; }; void delay (unsigned int a){ // 1ms延时程序 unsigned int i; while( --a != 0){

单片机课程设计报告--心形流水灯

井冈山大学 机电工程学院 单片机 课程设计报告 课程名称:单片机 设计题目:心形流水灯 姓名:玉红 专业:生物医学工程 班级:11级医工本一班 学号:110615017 指导教师:王佑湖 2013年11月27日

目录 1引言 (2) 1.1设计任务 (2) 1.2设计要求……………………………………………… ..2 2 课题综述 (2) 2.1课题的来源 (2) 2.2面对的问题 (2) 3 系统分析 (2) 3.1 STC89C52单片机引脚图及引脚功能介绍 (2) 4 系统设计 (4) 4.1硬件设计 (4) 4.1.1硬件框图 (4) 4.1.2硬件详细设计 (5) 4.2 软件设计 (5) 4.3 硬件原理图 (6) 4.4 元件清单 (6) 4.5 硬件焊接

图 (6) 4.6 代码编写 (7) 5心得体会 (7) 6致 (8) 参考文献 (8) 1 引言 单片机课程设计主要是为了让我们增进对STC89C51单片机电路 的感性认识,加深对理论方面的理解。了解软硬件的有关知识,并掌握软硬件设计过程、方法及实现,为以后设计和实现应用系统打下良好基础。另外,通过简单课题的设计练习,使我们了解必须提交的各项工程文件,达到巩固、充实和综合运用所学知识解决实际问题的目 的。 1.1设计任务 设计一个单片机控制的流水灯系统 1.2设计要求 (1)32个LED灯; (2)可实现多种的亮灯(如左循环,右循环,间隔闪,90度交叉闪等)。 2 课题综述

2.1 课题的来源 当今社会,这种由单片机芯片控制各种硬件工作的技术也日益成熟,并普及在交通、化工、机械等各个领域。而流水灯这项技术在生活中的应用更是广泛,较为贴近生活。而流水灯控制的设计所需要的知识也正好吻合了我们本学期对于单片机这门课程的学习,所以设计流水灯控制的这个课题让我们对知识的学习和巩固都有了进一步的加深。 2.2 面对的问题 这次课程设计是通过STC89C52位单片机实现。但面对的问题却是两方面的:一个是软件的设计,也就是实现流水灯控制功能的程序编辑;另一个是硬件的设计,需要我们自己连接、焊接电路板。而更为严峻的就是设计的最后还要将软硬件相结合。 3 系统分析 3.1 STC 89C52单片机引脚图及引脚功能介绍 本次设计的目的在于加深STC89C52单片机的理解,首先来简单认识一下,它的引脚如图3-1所示: 图3.1 STC89C52

数码管显示温度报警器 90c53

/******************************************************************** * 温度报警器 *(1)系统通过数码管显示检测到的温度值。 *(2)当被测目标的温度超过设定的阈值时,系统能够通过蜂鸣器自动报警。 *(3)系统能够将检测到的温度值传送到上位机,在上位机的串口调试助手里显示出来。* ***********************************************************************/ #include #include #define uchar unsigned char #define uint unsigned int #define jump_ROM 0xCC #define start 0x44 #define read_EEROM 0xBE sbit BELL = P0^2; // 蜂鸣器控制端口 sbit DQ = P2^3; // DS18B20数据口 sbit SET = P3^2; // 设定阀值控制端口 sbit UP = P3^3; // 上调控制端口 sbit DOWN = P3^4; //下调控制端口 sbit LED=P0^3; // 温度正常指示灯 sbit LED_1=P0^4; // 温度过高指示灯 sbit LED_2=P0^5; // 温度过低指示灯 unsigned char TMPH,TMPL; uchar code table[10] = {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; uchar code TEMP[10] = {'\060','\061','\062','\063','\064','\065','\066','\067','\070','\071'}; uchar Buffer[] = {'0','0','\040','\040','\040','\0'}; //所要发送的数据 uchar count = 0; // SET 的功能标识变量 uint max_c = 20 ; //默认上限 uint min_c = 10 ; //默认下限 void Delay_1ms(uint i) //延时时间为x ms { uchar x,j; for(j=0;j

单片机c语言编程控制流水灯

说了这么多了,相信你也看了很多资料了,手头应该也有必备的工具了吧!(不要忘了上面讲过几个条件的哦)。那个单片机究竟有什么 功能和作用呢?先不要着急!接下来让我们点亮一个LED(搞电子的应该知道LED是什么吧^_^) 我们在单片机最小系统上接个LED,看我们能否点亮它!对了,上面也有好几次提到过单片机最小系统了,所谓单片机最小系统就是在单片机 上接上最少的外围电路元件让单片机工作。一般只须连接晶体、VCC、GND、RST即可,一般情况下,AT89C51的31脚须接高电平。 #include //头文件定义。或用#include其具体的区别在于:后者定义了更多的地址空间。 //在Keil安装文件夹中,找到相应的文件,比较一下便知! sbit P1_0 = P1 ^ 0; //定义管脚 void main (void) { while(1) { P1_0 = 0;//低电平有效,如果把LED反过来接那么就是高电平有效 } } 就那么简单,我们就把接在单片机P1_0上的LED点亮了,当然LED是低电平,才能点亮。因为我们把LED的正通过电阻接至VCC。 P1_0 = 0; 类似与C语言中的赋值语句,即把0 赋给单片机的P1_0引脚,让它输出相应的电平。那么这样就能达到了我们预先的要求了。 while(1)语句只是让单片机工作在死循环状态,即一直输出低电平。如果我们要试着点亮其他的LED,也类似上述语句。这里就不再讲了。 点亮了几个LED后,是不是让我们联想到了繁华的街区上流动的彩灯。我们是不是也可以让几个LED依次按顺序亮呢?答案是肯定的!其 实显示的原理很简单,就是让一个LED灭后,另一个立即亮,依次轮流下去。假设我们有8个LED分别接在P1口的8个引脚上。硬件连接,在 P1_1--P1_7上再接7个LED即可。例程如下: #include sbit P1_0 = P1 ^ 0; sbit P1_1 = P1 ^ 1; sbit P1_2 = P1 ^ 2; sbit P1_3 = P1 ^ 3; sbit P1_4 = P1 ^ 4; sbit P1_5 = P1 ^ 5; sbit P1_6 = P1 ^ 6; sbit P1_7 = P1 ^ 7; void Delay(unsigned char a) { unsigned char i; while( --a != 0) {

蜂鸣器流水灯数码管显示作息时间控制单片机课程设计

一、课程设计目的 《单片机原理及应用》课程设计是一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生初步体验单片机应用系统的设计过程、设计要求、完成工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。 通过课程设计,应能加强学生如下能力的培训: (1)独立工作能力和创造力; (2)查阅图书资料,产品手册和各种工具书的能力; (3)工程绘图的能力; (4)编写技术报告和编制技术资料的能力 (5)综合运用专业及基础知识,解决实际工程技术问题的能力; 二、设计要求 2.1总体要求 (1) 独立完成设计任务 (2) 绘制系统硬件总框图 (3) 绘制系统原理电路图 (4) 制定编写设计方案,编制软件框图,完成详细完整的程序清单和注释; (5) 制定编写调试方案,编写用户操作使用说明书 (6) 写出设计工作小结。对在完成以上文件过程所进行的有关步骤如设计思想、指标论证、方案确定、参数计算、元器件选择、原理分析等作出说明,并对所完成的设计作出评价,对自己整个设计工作中经验教训,总结收获和今后研修方向。

2.2 具体要求 本次工程实践的校内部分主要以单片机为基础,进行单片机软件编程,目的是为了提高学生的软件编程和系统设计能力,整个设计系统包括两个部分,硬件及软件部分,硬件部分已经制作成功,学生只需要掌握其原理和焊接相应的元器件,掌握元器件的辨别和元器件的作用以及应用场所即可,另外对所焊接的电路还需要进行仔细的检查,判断是否有焊接错误的地方或者短路的地方,对出现的异常情况要能够根据现象判别原因,并具备解决问题的能力,从而切实提高学生的硬件电子电路的分析、判断能力。 软件编程是本次工程实践的重要环节。在为期两周的工程实践中,将占据主要时间,学生要完成的软件编程任务主要包括以下几点: 1)、熟悉Keil C51编程平台及相关编程软件 2)、编写、调试蜂鸣器、继电器动作、方波程序并进行软硬件联调 3)、编写、调试LED流水灯(循环显示)程序并进行软硬件联调 4)、编写、调试键盘扫描子程序并进行软硬件联调 5)、编写、调试数码管动态扫描程序并进行软硬件联调 6)、电子钟设计(包括键盘、时钟、显示等) 7)、作息时间控制系统设计(包括键盘、显示、时钟、报警等) 8)、智能交通灯控制系统设计 9)、车速里程测量、显示设计 三、设计内容及方法 单片机原理及其应用课程设计通常选择一般常见、常用的简单应用装置或对象进行微机控制。所涉及的系统可以实际制作,也可以实验室模拟,具体步骤和内容如下: 3.1设计准备 认真研究设计任务书,明确设计要求、条件、内容、和步骤;通过阅读有关

单片机流水灯课程设计

单片机流水灯课程 设计

基于AT89C51单片机的流水灯 1 引言 1.1 课题简介 单片机全称叫单片微型计算机(Single Chip Microcomputer),是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 当前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴:在智能仪器仪表上的应用,例如精密的测量设备;在工业控制中的应用,用单片机能够构成形式多样的控制系统、数据采集系统,例如工厂流水线的智能化管理,电梯智能化控制、各种报警系统,与计算

机联网构成二级控制系统等;在家用电器中的应用可从手机,电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话,集群移动通信,无线电对讲机等。单片机在医用设备领域中的应用,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等;在各种大型电器中的模块化应用,如音乐集成单片机,看似简单的功能,微缩在纯电子芯片中(有别于磁带机的原理),就需要复杂的类似于计算机的原理。 本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 1.2 设计目的 (1) 学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。 (2) 掌握汇编语言程序设计方法。 (3) 培养实践技能,提高分析和解决实际问题的能力。 1.3 设计任务及要求 (1) 彩灯用8个发光二极管代替。 (2) 电路具有控制彩灯点亮右移、左移、全亮及全灭等功能。

嵌入式- 蜂鸣器控制实验

实验二蜂鸣器控制实验 实验目的:1 了解ARM处理器PWM接口的处理机制 2 掌握在S3C2440A平台下进行PWM接口应用编程实验器材:Sinosys-EA2440实验箱PC机 实验原理:脉冲宽度调制(PWM)是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。即通过改变方波的占空比表示不同的模拟信号的电平。 在ARM嵌入式实验中,其实是通过微处理器中的计数器,对经过频率变换的输出频率周期进行计数,在PWM中,是通过两个寄存器(TCNTBn和TCMPBn)对输出信号的占空比进行调制,TCNTBn可以设置为TCMPBn+X,当TCNTBn在TCMPBn和TCMPBn+X 之间计数时,TOUTn(即输出信号)输出低电平,当TCNTBn计数下降到TCMPBn时,TOUTn电平反转,变为高电平,直到TCNTBn计数减到0,如果此时开启了重载,则又把预定的值重新装入TCNTBn和TCMPBn中,重复以上过程。 在ARM嵌入式PWM中,会提供一个基准时钟作为输入时钟,PWM调制会对输入的时钟进行分频等操作进入计时器逻辑,其电路图2.1如下:

图2.1 PWM计时器框图 如图2.1,输入的时钟PCLK经过一个8bit预置器和一个分频器,将得到的时钟进入计数器逻辑板块作为驱动时钟,而输出的TOUT则作为蜂鸣器(蜂鸣器控制电路如图2.2)的驱动信号。 图2.2 蜂鸣器控制电路

实验总结:实验的主函数首先设置时钟,端口初始化,并捕捉进入测试函数的指令,与实验一大致相同,在此不在赘述,重点分析测试函数。测试函数如下: void Beep(int freq,int ms) { int div,i rGPBCON&=~0x3; //将GPB0作为输入口rGPBCON|=0X2; // 接入TIMER0 rTCFG0&=~0XFF; // 设置prescaler为32 rTCFG0|=0XF; rTCFG1&=~0XF; //选择mux=1/16 rTCFG1|=0X3; div=plck/32/16/freq 计算TCNTB0的值rTCNTB0=div; rTCMPB0=rTCNTB0>>2; 占空比为4:1 rTCON&=~0X1F; 设置死区自动重载反转人工载入开始rTCON|=0XB; 关闭死区开启重载关反转开人工载入开始rTCON&=~2; 关闭人工载入 for(i=1,i

单片机左右流水灯与数码管动态显示C程序

单片机左右流水灯与数码管动态显示C程 序 本程序所用的原理图下载: 点这里,单片机芯片使用的stc89c52;找到相应部分即可.这是一整个单片机 开发板的电路图其他的忽略.以下是通过测试的源代码: /* *功能:用定时器0实现定时200毫秒流水灯, * 同时用定时器1实现动态显示654321, * 且蜂鸣器发声; *日期:2014-03-24 *作者:徐冉 *注意事项:若打开两个定时器时,必须使用两个定时器,* 否则两个定时器都不工作!!! **/ /**********AT89C52-RC 51hei单片机实验板 **************/ /*************51hei-开发板*********************/

#include ; typedef unsigned int uint; typedef unsigned char uchar; sbit wela = P2^7; //数码管位声明 sbit dula = P2^6; //数码管段选声明 sbit FM = P2^3;//蜂鸣器声明 uchar counter = 0, counter1 = 0, j = 0, flag = 0, flag1 = 0; uchar num = 6, num1 = 0; //数码管段选编码 uchar code table_du [] = { 0x3F, //"0" 0x06, //"1" 0x5B, //"2" 0x4F, //"3" 0x66, //"4"

单片机流水灯蜂鸣器上机程序

程序一(2) ORG 0000H LJMP Main ORG 0100H Main:MOV A,#0FFH CLR C MainLoop: CALL Delay RLC A MOV P1,A SJMP MainLoop Delay:MOV R7,#0 Loop:MOV R6,#0 DJNZ R6,$ DJNZ R6,$ DJNZ R6,$ DJNZ R7,Loop RET END 程序二 ORG 0000H LJMP MAIN ORG 0100H MAIN: JB P1.7,SETLED CLRLED: CLR P1.0 CLR P1.1 CLR P1.2 CLR P1.3 CLR P1.4 CLR P1.5 CLR P1.6 SJMP MAIN SETLED: SETB P1.0 SETB P1.1 SETB P1.2 SETB P1.3 SETB P1.4 SETB P1.5 SETB P1.6 SJMP MAIN; END

程序三 ORG 00H LJMP MAIN ORG 0BH LJMP INTO ORG 30H MAIN: MOV TMOD,#01H MOV TH0,#0FFH MOV TL0,#38H SETB TR0 SETB EA SETB ET0 SETB P1.3 SJMP $ INTO: CPL P1.3 MOV TH0,#0FFH MOV TL0,#38H RETI ; END 程序四 ORG 0000H JMP MAIN ORG 000BH JMP INTT0 ORG 0100H MAIN: MOV SP,#60H MOV TMOD,#01H SETB ET0 SETB EA SETB TR0 START0: SETB P1.3 MOV 30H,#00H NEXT: MOV A,30H MOV DPTR,#TABLE MOVC A,@A+DPTR MOV R2,A JZ ENDD

单片机课程设计报告旗舰版

单片机原理及系统课程设计 评语: 考勤(10)守纪(10)过程(40)设计报告(30)答辩(10)总成绩(100) 专业:电气工程及其自动化 班级:电气1001班 姓名:周兴 学号: 201009018 指导教师:李红 兰州交通大学自动化与电气工程学院 2013 年 3 月 7 日

基于单片机的LED流水灯系统设计 摘要 本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。本系统就是充分利用了8051芯片的I/O 引脚。系统以采用MCS-51系列单片机89C51为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 关键词:单片机;LED流水灯;MCS-51 Abstract This design mainly to analyze calculator software and in the process of developing the steps, and from the practical experience of the calculator design has made the detailed analysis and research. This system is to make full use of the 8051 chip I/O pins. System to the MCS - 51 series microconteroller as the center Intel8C51 device to design LED running lights system, realize the eight LED neon light left and right cyclic display, and realize the circulation speed adjustable. Keywords: single chip microcomputer, LED running lights,MCS-51

单片机设计(流水灯、矩阵键盘、数码管倒计时)

单片机结业作业 1.电路图 2.程序: #include sbit P1_6=P1^6; sbit P1_7=P1^7; unsigned char code led[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; unsigned char clock[2]={59,59}; //60秒倒计时缓冲数组 unsigned char *dis_p; unsigned char keytest(); //键盘检测函数 unsigned char search(); //查键值函数 void display(unsigned char *p); //显示函数 void main() { unsigned char i,a,c; TMOD=0x01; TH0=(65536-50000)/256; TL0=(65536-50000)%256; EA=1; ET0=1; TR0=1; dis_p=clock;

while(1) { P0=0x80; c=P0; for(i=0;i<8;i++) { c>>=1; P0=c; a=keytest(); if(a==0x0f) display(dis_p); else { display(dis_p); a=keytest(); if(a!=0x0f) { P3=0x00; a=search(); switch(a) { case 0x00:P2=0x3f;break; case 0x01:P2=0x06;break; case 0x02:P2=0x5b;break; case 0x03:P2=0x4f;break; case 0x04:P2=0x66;break; case 0x05:P2=0x6d;break; case 0x06:P2=0x7d;break; case 0x07:P2=0x07;break; case 0x08:P2=0x7f;break; case 0x09:P2=0x6f;break; case 0x0a:P2=0x77;break; case 0x0b:P2=0x7c;break; case 0x0c:P2=0x39;break; case 0x0d:P2=0x5e;break; case 0x0e:P2=0x79;break; case 0x0f:P2=0x71;break; default:break; } while((a=keytest())!=0x0f); } } } } }

单片机 利用蜂鸣器演奏音乐

实验三-利用蜂鸣器演奏音乐 一、实验目的 1.了解BlueSkyC51单片机实验板中蜂鸣器的硬件电路 2.学会利用蜂鸣器实现音乐的演奏 3.掌握蜂鸣器实现音乐演奏的编程 二、实验硬件设计及电路 1. BlueSkyC51单片机实验板 ` 2.单片机最小系统

。 3.蜂鸣器电路连接 三极管主要是做驱动用的。因为单片机的IO口驱动能力不够让蜂鸣器发出声音,所以

我们通过三极管放大驱动电流,从而可以让蜂鸣器发出声音,你要是输出高电平,三极管导通,集电极电流通过蜂鸣器让蜂鸣器发出声音,当输出低电平时,三极管截止,没有电流流过蜂鸣器,所以就不会发出声音。 三、实验原理 1.音调及节拍 用一个口,输出方波,这个方波输入进蜂鸣器就会产生声音,通过控制方波的频率、时间,就能产生简单的音乐。一般说来,单片机演奏音乐基本都是单音频率,因此单片机奏乐只需控制音调和节拍。 (1)音调的确定 音调是由频率来确定的。通过单片机的定时器定时中断,将单片机上对应蜂鸣器的I/O 口来回取反,从而让蜂鸣器发出不同频率的声音。只需将定时器给以不同的定时值就可实现。通过延时,即可发出所需要的频率。 … (2)节拍的确定 一拍的时长大约为400—500ms,每个音符的时长通过节拍来计算。详细见程序代码。 2.软件设计相关 (1)头文件 #include<> #define uint unsigned int #define uchar unsigned char #define ulong unsigned long sbit beep=P1^4; 译实验相关问题 ; (1)实际发音颤音重 解决方法为修改蜂鸣器的驱动频率. (2)实际节奏过快或者过慢 调整延时 四、C51程序代码(部分来源于网络) #include<> #define uint unsigned int #define uchar unsigned char #define ulong unsigned long ~ sbit beep=P1^4; //蜂鸣器与口连接 uchar th0_f; //中断装载T0高8位 uchar tl0_f; //T0低8位 uchar code freq[36*2]={ //音阶码表 0xf7,0xd8, //440hz , 1 //0 0xf8,0x50, //466hz , 1# //1

51单片机经典流水灯汇编程序

单片机流水灯汇编程序设计 流水灯汇编程序 8只LED为共阳极连接,即单片机输出端为低电平时即可点亮LED。 ;用最直接的方式实现流水灯 ORG 0000H START:MOV P1,#01111111B ;最下面的LED点亮 LCALL DELAY ;延时1秒 MOV P1,#10111111B ;最下面第二个的LED点亮 LCALL DELAY ;延时1秒 MOV P1,#11011111B ;最下面第三个的LED点亮(以下省略) LCALL DELAY MOV P1,#11101111B LCALL DELAY MOV P1,#11110111B LCALL DELAY MOV P1,#11111011B LCALL DELAY MOV P1,#11111101B LCALL DELAY MOV P1,#11111110B LCALL DELAY MOV P1,#11111111B ;完成第一次循环点亮,延时约0.25秒 AJMP START ;反复循环 ;延时子程序,12M晶振延时约250毫秒 DELAY: ;大约值:2us*256*256*2=260ms,也可以认为为250ms PUSH PSW ;现场保护指令(有时可以不加) MOV R4,#2 L3: MOV R2 ,#00H L1: MOV R3 ,#00H L2: DJNZ R3 ,L2 ;最内层循环:(256次)2个周期指令(R3减一,如果比1大,则转向L2) DJNZ R2 ,L1 ; 中层循环:256次 DJNZ R4 ,L3 ;外层循环:2次 POP PSW RET END

51单片机汇编程序集(二) 2008年12月12日星期五 10:27 辛普生积分程序 内部RAM数据排序程序(升序) 外部RAM数据排序程序(升序) 外部RAM浮点数排序程序(升序) BCD小数转换为二进制小数(2位) BCD小数转换为二进制小数(N位) BCD整数转换为二进制整数(1位) BCD整数转换为二进制整数(2位) BCD整数转换为二进制整数(3位) BCD整数转换为二进制整数(N位) 二进制小数(2位)转换为十进制小数(分离BCD码) 二进制小数(M位)转换为十进制小数(分离BCD码) 二进制整数(2位)转换为十进制整数(分离BCD码) 二进制整数(2位)转换为十进制整数(组合BCD码) 二进制整数(3位)转换为十进制整数(分离BCD码) 二进制整数(3位)转换为十进制整数(组合BCD码) 二进制整数(M位)转换为十进制整数(组合BCD码) 三字节无符号除法程序(R2R3R4/R7)=(R2)R3R4 余数R7 ;二进制整数(2位)转换为十进制整数(分离BCD码) ;入口: R3,R4 ;占用资源: ACC,R2,NDIV31 ;堆栈需求: 5字节 ;出口: R0,NCNT IBTD21 : MOV NCNT,#00H MOV R2,#00H IBD211 : MOV R7,#0AH LCALL NDIV31 MOV A,R7 MOV @R0,A INC R0 INC NCNT MOV A,R3 ORL A,R4 JNZ IBD211 MOV A,R0 CLR C SUBB A,NCNT MOV R0,A RET ;二进制整数(2位)转换为十进制整数(组合BCD码) ;入口: R3,R4 ;占用资源: ACC,B,R7 ;堆栈需求: 3字节 ;出口: R0

MSP430流水灯蜂鸣器实验报告

MSP430实验报告 姓名: 学号:

一、实验目的: 掌握msp430单片机的程序编写和运行过程。 掌握IAR Embedded Workbench程序的编译和运行。 二、实验内容: 实现流水灯以三种流动方式和四种流动速度的不同组合而进行点亮"流动",同时每改变一次流水方式,蜂鸣器响一次。 三、使用串口: P2口,P6口 四、蜂鸣器实现: 通过定义Timer()函数,并在每次改变流水方式时调用Timer()函数,通过对p6接口的设置,来达到蜂鸣器声音的实现。 五、实验代码: //BoardConfig.h// typedef unsigned char uchar; typedef unsigned int uint; //控制位的宏定义 #define Ctrl_Out P3DIR |= BIT3 + BIT6 + BIT7; #define Ctrl_0 P3OUT &= ~(BIT3 + BIT6 + BIT7) #define SRCLK_1 P3OUT |= BIT7 #define SRCLK_0 P3OUT &= ~BIT7 #define SER_1 P3OUT |= BIT6 #define SER_0 P3OUT &= ~BIT6 #define RCLK_1 P3OUT |= BIT3

#define RCLK_0 P3OUT &= ~BIT3 //板上资源配置函数 void BoardConfig(uchar cmd) { uchar i; Ctrl_Out; Ctrl_0; for(i = 0; i < 8; i++) { SRCLK_0; if(cmd & 0x80) SER_1; else SER_0; SRCLK_1; cmd <<= 1; } RCLK_1; _NOP(); RCLK_0; } 主函数: #include #include "BoardConfig.h" void Timer (void); void delay(int z); uint i = 0,j = 0,dir = 0;uchar step = 0xff; uint flag = 0,speed = 0; //flag--灯光流动方式,speed--灯光流动速度 void main(void) { WDTCTL = WDTPW + WDTHOLD; //关闭看门狗 BoardConfig(0xf0); CCTL0 = CCIE; //使能CCR0中断 CCR0 = 50000; TACTL = TASSEL_2 + ID_3 + MC_1; //定时器A的时钟源选择SMCLK,增计数模式 P2DIR = 0xff; //设置P2口方向为输出 P2OUT = 0xff; P6DIR |= BIT7; //蜂鸣器对应IO设置为输出

精品可控流水灯单片机课程设计报告(c语言)-定

目录 1 引言 (1) 2课题综述 (1) 2.1 课题的来源 (1) 2.2课题的意义 (1) 2.3预期的目标 (1) 2.4面对的问题 (1) 2.5课题的技术 (2) 3系统分析 (2) 3.1涉及的基础知识 (2) 3.280C51单片机引脚图及引脚功能介绍 (2) 3.3 解决问题的基本思路 (3) 3.4总体方案 (3) 4系统设计 (3) 4.1硬件设计 (3) 4.2 软件设计 (4) 4.3元件清单 (4) 4.4硬件原理图 (5) 4.5 硬件焊接图 (6) 4.6设计主要功能 (6) 5代码编写 (6) 6程序调试 (7) 结论 (8) 致谢 .................................................................................................. 错误!未定义书签。参考文献 .............................................................................................. 错误!未定义书签。

1 引言 单片机课程设计主要是为了让我们增进对80C51单片机电路的感性认识,加深对理论方面的理解。了解软硬件的有关知识,并掌握软硬件设计过程、方法及实现,为以后设计和实现应用系统打下良好基础。另外,通过简单课题的设计练习,使我们了解必须提交的各项工程文件,达到巩固、充实和综合运用所学知识解决实际问题的目的。 2课题综述 2.1课题的来源 当今社会,这种由单片机芯片控制各种硬件工作的技术也日益成熟,并普及在交通、化工、机械等各个领域。而流水灯这项技术在生活中的应用更是广泛,较为贴近生活。而流水灯控制的设计所需要的知识也正好吻合了我们本学期对于单片机这门课程的学习,所以设计流水灯控制的这个课题让我们对知识的学习和巩固都有了进一步的加深。 2.2课题的意义 这次单片机课程设计是为了通过对流水灯控制的设计加强学生团队配合的能力和创造力;综合运用专业及基础知识,解决实际工程技术问题的能力。能够让学生深入真是的体会到所学的理论知识和实践相结合的过程。找出自身的不足并加以改正。 2.3预期的目标 对8个LED灯设计5种流水灯显示方式,用一个按键进行方式选择,并用一个数码管显示方式编号;用两个键来控制流水灯流动的速度。 2.4面对的问题 这次课程设计是通过80C51位单片机实现。但面对的问题却是两方面的:一个是软件的设计,也就是实现流水灯控制功能的程序编辑;另一个是硬件的设计,需要我们自己连接、焊接电路板。而更为严峻的就是设计的最后还要将软硬件相结合。首先我们需要通过protel将设计的实物的电路图画出来,再根据电路图连接实物电路。

单片机按键控制蜂鸣器发声程序

#include typedef unsigned char uint8; typedef unsigned int uint16; uint8 Count,i; sbit Speak =P1A2; //蜂鸣器器控制脚 sbit keyl =卩3人2;〃按键控制引脚 sbit key2 =P3A3; sbit key3 =P3A4; /* 以下数组是音符编码 */ uint8 code SONG[] ={ 0xff,0x39,0x30,0x33,0x30,0xff,0x30,0x30,0x00,}; void Time0_Init()// 定时器 T0 方式 1 ,定时 10ms { TMOD = 0x01; IE = 0x82; TH0 = 0xDC; TL0 = 0x00; void Time0_Int() interrupt 1 { TH0 = 0xDC; TL0 = 0x00; Count++; } void delay (uint8 k)// 按键防抖延时 { uint8 j; while((k--)!=0) { for(j=0;j<125;j++) {;} } } void Delay_xMs(uint8 x)// 发声延时 { uint8 i,j; for(i=0; i

Count = 0; // 中断计数器清 0 Addr = i *3; while(1) { Temp1 = SONG[Addr++]; if (Temp1 == 0xFF) //休止符 { TR0 = 0; Delay_xMs(100); } else if (Temp1 == 0x00) //歌曲结束符 { return; } else { Temp2 = SONG[Addr++]; TR0 = 1; while(1) { Speak = ~Speak; Delay_xMs(Temp1); if(Temp2 == Count) { Count = 0; break; } } } } }void keyscan (void)// 按键切换声音函数{ if(key1==0) { delay(10); if(key1==0) {

相关主题
文本预览
相关文档 最新文档