当前位置:文档之家› 最新4月浙江自考数字电路试题及答案解析

最新4月浙江自考数字电路试题及答案解析

最新4月浙江自考数字电路试题及答案解析
最新4月浙江自考数字电路试题及答案解析

1

浙江省2018年4月自学考试数字电路试题

课程代码:02344

本试卷分A 、B 卷,使用1999年版本教材的考生请做A 卷,使用2018年版本教材的考生请做B 卷;若A 、B 两卷都做的,以B 卷记分。

A 卷

一、填空题(本大题共10小题,每空1分,共10分)

请在每小题的空格中填上正确答案。错填、不填均无分。

1.两输入与非门输入为01时,输出为__________。

2.逻辑变量和逻辑函数只有__________两种取值,而且它们只是表示两种不同的逻辑状态。

3.函数Y=AB +A C 的最小项表达式为__________。 4.使用OC 门时,输出端应接上__________和电源才能正常的工作。

5.组合逻辑电路是指任何时刻电路的输出仅由当时的__________决定。

6.8线—3线优先编码器74LS148的优先编码顺序是0567I I ,I ,I ,输出012Y Y Y 。输入输出均为低电平有效。当输入0567I I ,I ,I 为11010101时,输出012Y Y Y 为__________。

7.D 触发器,当D 与__________相连时,转化为T ′触发器。

8.某计数器的输出波形如图1所示,该计数器是__________进制计数器。

9.设计一个8421BCD 码加法计数器,至少需要__________个触发器。

10.双积分型A/D 转换器相对逐次比较型A/D 转换器的转换速度要__________。

二、单项选择题(本大题共15小题,每小题2分,共30分)

在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。

11.已知二进制数01001010,其对应的十进制数为( )

A.48

B.74

C.106

D.92

12.下列几种说法中与BCD码的性质不符的是()

A.一组四位二进制数组成的码只能表示一位十进制数

B.BCD码是一种人为选定的0~9十个数字的代码

C.BCD码是一组四位二进制数,能表示十六以内的任何一个十进制数

D.BCD码有多种

13.下列逻辑等式中不成立的是()

A.A+BC=(A+B)(A+C)

B.AB+A B+A B=1

C.A+B+AB=1

D.A AB=A B

14.在下列各组变量取值中,能使函数F(A,B ,C)=∑m(0,1,2,4,6)的值为1是()A.110 B.101

C.011

D.111

15.逻辑函数F=(A+B)(B+C)的反函数F=()

A. A B+B C

B.AB+B C

C. A B+C

D.AB+B C

16.如图2所示电路,开关A、B断开为0,接通为1,灯F亮为1,灭为0,则灯F与开关A、B的逻辑关系为()

A.F=AB

B.F=A B

C.F=A+B

D.F=A+B

17.如图3所示TTL门电路,当EN=0时,F的状态为()

A.F=A B

B.F=A B

C.F=AB

D.F=A B

18.测得某逻辑门输入A、B和输出F的波形如图4所示,则F(A,B)的表达式为()

A.F=AB

B.F=B

A

C.F=AB

D.F=A⊕B

2

19.组合逻辑电路的竞争冒险是由于______引起的。()

A.电路不是最简

B.电路有多个输出

C.电路中存在延迟

D.电路中使用不同的门电路

20.在同步工作条件下,JK触发器的现态Q n=0,要求Q n+1=0,则应使()

A.J=×,K=0

B.J=0,K=×

C.J=1,K=×

D.J=K=1

21.一个4位移位寄存器,现态为0000,如果串行输入始终为1,则经过4个移位脉冲后,寄存器的内容为()

A.0001

B.0111

C.1110

D.1111

22.滞后性是______的基本特性。()

A.多谐振荡器

B.施密特触发器

C.T触发器

D.单稳态触发器

23.一个ROM共有10根地址线,8根位线(数据输出线),则其存储容量为()

A.10×8

B.102×8

C.10×82

D.210×8

24.某8位D/A转换器,当输入全为1时,输出电压为5.10V,当输入D=(10000010)2时,输出电压为()

A.5.10V

B.2.58V

C.2.60V

D.2.62V

25.关于半导体存储器的描述,下列哪种说法是错误的?()

A.RAM读写方便,但一旦掉电,所存储的内容就会全部丢失

B.ROM掉电以后数据不会丢失

C.RAM可分为静态RAM和动态RAM

D.动态RAM不必定时刷新

三、分析题(本大题共7小题,每小题6分,共42分)

26.用图形法将下列逻辑函数化成最简“与或”式。(∑d为约束项之和)

F(A,B,C,D)=∑m(5,7,9,13)+∑d(0,3,4,8,11,12,15)。

27.分析图5所示由分立元件构成的数字电路。写出F1、F2、F3和F与输入之间的逻辑表达式。

3

28.写出如图6所示组合逻辑电路的“与或”逻辑表达式,列出真值表。

29.根据图7所示4选1数据选择器实现的组合电路,写出输出L逻辑表达式并化成最简“与或”表达式。30.电路如图8所示, 请画出在输入信号作用下, 对应的输出Q的波形。(设触发器初态为0)

31.由四位二进制计数器74161及门电路组成的时序电路如图9所示,其中74161的功能表如表1所示。画出状态转换图,指出该电路的功能。

4

表1

32.如图10所示是一个由555定时器构成的防盗报警电路,a、b两端被一细铜丝接通,此铜丝置于盗窃者必经之路,当盗窃者闯入室内将铜丝碰断后,扬声器即发出报警声。

(1)试问555接成何种电路?

(2)说明本报警电路的工作原理。

四、设计题(本大题共2小题,每小题9分,共18分)

33.设计一多数表决电路。要求A、B、C三人中只要有两人以上(包括两人)同意,则决议就能通过,但A还有决定权,即只要A同意,即使其他人不同意也能通过。

(1)列出真值表并写出逻辑函数;

(2)化简逻辑函数,用与非门实现设计并画出电路图。

34.试用两只JK触发器和最少量的接线设计一个能产生如图11所示波形的时序逻辑电路,要求写出完整的设计过程。

B卷

一、填空题(本大题共10小题,每空1分,共10分)

请在每小题的空格中填上正确答案。错填、不填均无分。

1.把二进制数1010101.101换算成十进制数为__________。

5

2.函数F=1

B

A

的反函数为__________。

3.在TTL三态门、集电极开路门、与非门、异或门电路中,为了能实现线与逻辑功能,应选用__________门。

4.CMOS反相器是由NMOS管和PMOS组成的,它的静态功耗__________。

5.用编码器对16个信号进行编码,其输出二进制代码的位数至少为__________。

6.在4级环形计数器中,总的状态数为24=16,但其中只有__________状态为工作状态。

7.可编程逻辑器件按可编程的情况分类,可以分为:PROM、PLA、PAL和__________。

8.工作中既可以读出信息,又可写入信息的存储器称为__________。

9.已知计数器的状态转换图如题9图所示,它是__________计数器。

10.8位D/A转换器的分辨率为__________。

二、单项选择题(本大题共12小题,每小题2分,共24分)

在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。

11.十进制数(24.7)10对应的8421BCD码是()

A.(100100.0111)8421BCD

B.(011000.1000)8421BCD

C.(010100.1010)8421BCD

D.(011000.0111)8421BCD

12.函数F=AB+A C+BCDE的最简与或表达式是()

A.AB+A C

B.AB+BD

C. A C+CD

D.A B+BD

13.与自然二进制码1001对应的Gray码是()

A.1001

B.1101

C.1110

D.1010

14.逻辑函数F=BC

A

C

B

C

A

可用最小项之和表示为()

A.∑m(0,2,4,6)

B.∑m(0,3,5,6)

C.∑m(0,3,4,6)

D.∑m(0,3,4,7)

15.将CMOS与非门作非门使用,则多余输入端应做______处理。()

A.全部接电源正极

B.部分接高电平,部分接地

6

7

C.全部接地

D.部分接电源正极,部分悬空

16.门电路的抗干扰能力取决于( )

A.扇出系数

B.阈值电压

C.噪声容限

D.空载功耗

17.8线-3线优先编码器74LS148的优先编码顺序是0567I I ,I ,I ,输出为012Y Y Y 。其逻辑功能为:当

I 7有效时,012Y Y Y =000;当6I 有效时,012Y Y Y =001;当5I 有效时,012Y Y Y =010;……当0I 有效时,

012Y Y Y =111;输入输出均为低电平有效。当输入0567I I ,I ,I =11010101时,输出012Y Y Y 为( )

A.000

B.001

C.010

D.111

18.在同步工作条件下,JK 触发器的现态Q n =1,要求Q n+1=0,则应使( )

A.J=K=0

B.J=0,K=1

C.J=×,K=1

D.J=0,K=×

19.一个8位串行数据,输入8位移位寄存器,时钟脉冲频率为1kHz ,经过______可转换为8位并行数据输出。( )

A.8ms

B.4ms

C.8μs

D.4μs

20.一个4位的二进制加法计数器,由0011状态开始,经过8个时钟脉冲后,此计数器的状态为( )

A.1001

B.1010

C.1011

D.1100

21.1024×8位的ROM 应有______根地址线。( )

A.11

B.10

C.8

D.13

22.集成芯片555构成的电路图题22图所示,电路实现的功能是( )

A.多谐振荡器

B.定时器

C.单稳态触发器

D.施密特触发器

三、分析题(本大题共8小题,每小题6分,共48分)

23.将逻辑函数F(A,B,C)=A(B+C )+BC 化简成最简“与非”表达式。

24.列出题24图所示电路的真值表,并说明其逻辑功能。

8

25.判断题25图各电路接法的对错,对的在下方的括号中打“Y ”,错的打“N ”。

26.电路如题26图所示,图中①~⑤均为2线—4线译码器。

2线—4线译码器的逻辑功能为: 当S =0时,电路处于工作状态,

01

0A A Y ,011A A Y ,012A A Y ,013A A Y 。

试求:

(1)欲分别使译码器①~④处于工作状态,对应的C 、D 应分别输入何种状态?请填入题26表1;

(2)试分析当译码器①工作时,请对应A、B的状态,写出

10

Y

~13

Y的状态,将其填入题26表2。

题26表1 题26表2

27.由ROM存储矩阵构成的连线如题27图所示,写出输出函数S i,C i的表达式。

28.有一上升沿触发的JK触发器,已知CP、J、K信号波形如题28图所示,画出Q端的波形。(设Q的初始态为0)

29.分析题29图所示电路,试求:

(1)电路的状态方程;

(2)电路的状态转换真值表;

(3)电路的状态转换图;

9

(4)计数器的模是多少?

30.由集成定时器555的电路如题30图(a)所示,试求:

(1)构成电路的名称;

(2)已知输入信号v I的波形如题30图(b)所示,画出电路中v O的波形(标明v O波形的脉冲宽度)。

四、设计题(本大题共2小题,每小题9分,共18分)

31.试用8选1数据选择器74LS151实现逻辑函数F(A,B,C,D)=∑m(0,1,4,9,10,14),74LS151的逻辑功能如题31表所示。请写出详细的设计过程,并在题31图中画出连线。

题31表74LS151的逻辑功能表

10

32.同步四位二进制计数器74161的功能表见题32图(a)所示,利用其设计一个计数器,状态转换图如题32(b)图所示,试求:

(1)它是几进制计数器?(2)采用什么编码?(3)在题32图(c)中画出设计连线图。

11

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

浙江理工大学数电第三章部分作业

3-3 写出如图3-51所示电路输出信号的逻辑表达式,并说明其功能。 解:写出其逻辑功能。 AB C B A F C B A F +⊕=⊕⊕=)(21 这是一个1位全加器,F 1输出本位和,F 2输出进位。 题3-8 已知图3-56所示为一个受M 1,M 2控制的原码、反码、和0,1转换器。试分析该转换器各在M 1,M 2的什么状态下实现上述转换。 A3 B2 B3 B4

直接写出B i 的逻辑表达式。 。 0;输出01;1。1;输出10;1;原码。1;0;反码。0;0)()()(212121212 12121212121212121211212 122121212 12121=?===?===?===?==++=++++=++++=++=++=+=⊕=i i i i i i i i i i i i i i i i i i i i i i i i i B M M B M M A B M M A B M M M M A M M A M M A M M A M M A M M A M M A M M A A M M A M M M A M M M M A M A M M M A M A M M M A M M A M M A M B 3-10 现有四台设备,每台设备用电均为10KW 。若这四台设备由A ,B 两台发电机供电,其中A 的功率为10KW ,B 的功率为20KW 。四台设备的工作情况是:四台设备不可能同时工作,但至少有一台工作。试设计一个供电控制逻辑电路,以达到节约用电的目的。 解: 分析逻辑变量。输入逻辑变量是四台设备的工作状态,以C ,D ,E ,F 代表它们。0表示不工作,1表示工作。输出是A ,B 两台发电机,以A ,B 代表,0表示不发电,1表示发电。为达到节约用电的目的,则应保证发电机发电数尽可能最少。 约束条件:CDEF=0 且 C+D+E+F=1。

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

相关主题
文本预览
相关文档 最新文档