基于fpga的数字密码锁(使用矩阵键盘).doc

  • 格式:doc
  • 大小:25.50 KB
  • 文档页数:2

下载文档原格式

  / 2
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于fpga的数字密码锁(使用矩阵键盘)

..基于FPGA 数字密码锁板子使用的是DE2顶层topmodule lock(reset,clk,row,col,mm0,mm1,mm2,mm3,led1,led2,led3,set_flog);inpu t clk,reset;input [3:0]row;output wire [3:0] col;output wire [6:0] mm0,mm1,mm2,mm3;output reg led1, led2,led3;wire [3:0]key_value;reg [3:0] temp_key;reg [3:0] m0,m1,m2,m3;reg [3:0] m_0,m_1,m_2,m_3;reg [5:0] state;reg [2:0] wei;wire key_valid;reg [1:0]count_wrong;output reg set_flog;parameter valid =6'b000000, set =6'b000001, collection_mm =6'b000010, cmd =6'b000100, collection =6'b001000, wrong =6'b010000, correct =6'b100000, die_lock =6'b000011, lock =6'b000111;always @(posedge clk or negedge reset)begin if(!reset) begin state=6'b000000;wei=0; led3=0;set_flog=0;led1=0; led2=0; m0=15;m1=15;m2=15;m3=15; end else begin case(state) valid : if(key_valid) begin temp_key=key_value; state=collection; end else state=0key_value=9) begin if(led1(!set_flog))//开启状态不能按数字键state=valid; else if((!key_valid)(wei5)) begin -省略部分-=7'b 0100100; 4'b0011:wei1=7'b 0110000; 4'b0100:wei1=7'b 0011001; 4'b0101:wei1=7'b 0010010; 4'b0110:wei1=7'b 0000010; 4'b0111:wei1=7'b 1111000; 4'b1000:wei1=7'b 0000000; 4'b1001:wei1=7'b 0011000;4'b1010:wei1=7'b 0001000; 4'b1011:wei1=7'b 0000011; 4'b1100:wei1=7'b 1000110; 4'b1101:wei1=7'b 0100001; 4'b1110:wei1=7'b 0000110;

4'b1111:wei1=~7'b 1000000; //4'b1111:wei1=7'b 0001110; endcase case(mm[11:8])4'b0000:wei2=7'b 1000000; 4'b0001:wei2=7'b 1111001; 4'b0010:wei2=7'b 0100100; 4'b0011:wei2=7'b 0110000; 4'b0100:wei2=7'b 0011001; 4'b0101:wei2=7'b 0010010; 4'b0110:wei2=7'b 0000010; 4'b0111:wei2=7'b 1111000; 4'b1000:wei2=7'b 0000000; 4'b1001:wei2=7'b 0011000;4'b1010:wei2=7'b 0001000; 4'b1011:wei2=7'b 0000011; 4'b1100:wei2=7'b 1000110; 4'b1101:wei2=7'b 0100001; 4'b1110:wei2=7'b 0000110; 4'b1111:wei2=~7'b 1000000; //4'b1111:wei2=7'b 0001110; endcasecase(mm[15:12])4'b0000:wei3=7'b 1000000; 4'b0001:wei3=7'b 1111001; 4'b0010:wei3=7'b 0100100; 4'b0011:wei3=7'b 0110000; 4'b0100:wei3=7'b 0011001; 4'b0101:wei3=7'b 0010010; 4'b0110:wei3=7'b 0000010; 4'b0111:wei3=7'b 1111000; 4'b1000:wei3=7'b 0000000; 4'b1001:wei3=7'b 0011000;4'b1010:wei3=7'b 0001000; 4'b1011:wei3=7'b 0000011; 4'b1100:wei3=7'b 1000110; 4'b1101:wei3=7'b 0100001; 4'b1110:wei3=7'b 0000110; 4'b1111:wei3=~7'b 1000000; //4'b1111:wei3=7'b 0001110; endcaseendendmoduleword教育资料达到当天最大量API KEY 超过次数限制

相关主题