电子时钟实验报告

  • 格式:pdf
  • 大小:239.63 KB
  • 文档页数:5

下载文档原格式

  / 5
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验6 数字电子钟的设计

一、实验目的

1、学会综合运用常用电路单元设计数字系统

2、学会组装调试技术

3、完成数字钟的基本功能及扩展电路的设计任务

二、实验原理

数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为12小时,显示满刻度为12时59分59秒,另外有报时功能。因此,一个基本的数字钟电路主要由译码显示

器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计时器,可实现对12小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。

1、振荡器

振荡器的作用是产生时间标准信号。数字钟的精度就是主要取决于时间标准信的频率和稳定度。

2、计数器

根据计数周期分别组成两个60进制(秒、分)和一个12进制(时)的计数器。把它们适当连接可以构成秒、分、时的计数,实现计时功能。

3、译码和数码显示电路

译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。可被人们的视觉器官所接受。显示器件选用LED七段数码管。在译码显示电路输出信号的驱动下,显示出清晰直观的数字符号。

4、报时电路

当数字钟显示整点时,应能报时。要求当数字钟

的“分”和“秒”计数器计到59分50秒时,驱动音响电路。

5、原理框图

6、实现方案

自行选择芯片,例如74LS90、74LS192、74LS160、74LS161、555、晶振等常用数字电路集成电路,来完成电路的设计与调试,并最终完成设计。

三、实验设备与器件

数字电路实验装置,74LS192、74LS160、74LS161、晶振等常用数

字电路集成电路,常用仪器,电脑,EWB软件等。

四、实验内容

1、自选常用数字集成电路设计数字电子钟。要求实现基本功能:

① 准确计时,以数字形式显示时、分、秒的时间

② 小时的计时要求为“12 翻 1”,分和秒的计时要求为 60 进位

2、在实现基本功能进行功能扩展 :

① 定时控制

② 仿广播电台正点报时

③ 报整点时数

五、实验步骤

1,一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用,同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号

驱动下一级计数器。

2,实现任意进制计数

(1) 用复位法获得任意进制计数器

假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计

数到M时置“0”,即获得M进制计数器。如图5-9-4所示为一个由CC40192十进制计数器接成的6进制计数器。

(2) 利用预置功能获M进制计数器

3,把图5-9-1中的第二片计数器换成图5-9-2 的计数器即可实现六十进制的计数器。

4,把两个六十进制的计数器和一个十二进制的计数器连接在一起即可构成由“

时”,“分”,“秒”组成的数字电子钟,秒计数器模块的进位输出为分计数器模块的进位输入,分计数器模块的进位输出为小时计数器模块的进位输入。其中秒计数器模块中应

有分钟的设定,分计数器模块中应有小时的设定。

5,由图5-9-4 连接起来的电路可实现整点报时的功能。

图5-9-1 CC40192级联电路

图5-9-2 六进制计数器

图5-9-3 特殊12进制计数器

图5-9-4 整点报时

五、实验结论

通过利用前面的几个实验电路以及由本实验测试可知连接起来的电路能够正常显示某时某分某秒,并有报时功能。

六、实验心得

通过本次实训,我们也学会了很多东西:

1、学会很好的与人合作精神,对于自己的工作会有很大的帮助;

2、学习借鉴一些经典设计,其中的许多细节是使你的设计成为产品时必需注意的。有些可能是为了适应工艺参数的变化,有些可能是为了加速开关过程,有些可能是为了保证系统的稳定性等。通过访真细细观察这些细节,既有收益,也会有乐趣。项目组之间,尤其是项目组成员之间经常交流,可避免犯同样错误。