三位密码锁实验报告

  • 格式:doc
  • 大小:563.00 KB
  • 文档页数:21

下载文档原格式

  / 21
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字系统设计

实习(训)报告评语

等级:

评阅人:职称:

年月日

河南工程学院

实习(训)报告

实习目的(内容):电子密码锁

实习时间:自 6 月17 日至 6 月28 日

共12天

实习地点:三号实验楼A307

实习单位:

指导老师: 翁嘉民系主任:

3

目录

1.引言 (5)

2.设计思想 (6)

2.1系统原理框图

2.2总体实现原理

3.芯片主控设计 (7)

3.1系统设计方案

3.2FPGA有限状态机

3.3设计流程

3.4状态编码

3.5密码的输入

3.6密码记录与比较

3.7密码的显示

4.引脚锁定 (11)

5.程序仿真 (13)

6.方框图 (14)

7.心得体会 (18)

4

基于Verilog HDL的FPGA的电子密码锁的设计报告摘要:基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。

通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节的设计上还需要不断地完善和改进,特别是对系统的扩展有很好的使用系统和设计的价值。

一、引言

数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。

1 EDA简介

EDA(Electronics Design Automation)技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。EDA关键技术之一就是采用硬件描述语言对硬件电路进行描述,且具有系统级仿真和综合能力。目前应用比较广泛的硬件描述语言就是Verilog HDL。

2 Verilog HDL简介

Verilog HDL和VHDL一样,是目前大规模集成电路设计中最具代表性、使用最广泛的硬件描述语言之一。Verilog HDL具有如下特点:

(1)能够在不同的抽象层次上,如系统级、行为级、RTL级、门级和开关级,对设计系统进行精确而简练的描述。

(2)能够在每个抽象层次的描述上对设计进行仿真验证,及时发现及时发现可能存在

的错误,缩短设计周期,并保存整个设计过程的正确性。

(3)由于代码描述与工艺过程实现无关,便于设计标准化,提高设计的可重用性。如国有C语言的编程基础经验,只需很短的时间就能学会和掌握Verilog HDL,因此,Verilog HDL可以作为学习HDL设计方法的入门和基础。

本设计名称为密码锁,共有六个模块,分别为,按键去抖、输入密码、显示模块、比较模块、状态转换模块、输出控制。

最终由总程序来实现所需功能。

设计所要实现的功能为:

1 手动用8个拨码开关设计三位密码(0-5)或开锁。

2 当输入密码开锁,当密码输入正确时,指示灯亮,表示开锁成功。

3 当密码输入错误时,灯亮(非同一个灯),表示开锁失败。

二、设计思想

2.1 系统原理框图

本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又可分为按键处理部分,控制部分和译码显示部分。系统原理框图如图2.1所示:

2.2 总体实现原理

本系统有8个按键,K0,K1,K2,K3,K4,K5代表数字0-9共10个数字和1个确认键,1个复位键。密码长度为四位,并且固化在锁内,输入正确密码后,按确认键即可开门,本系统设置为LED D8灯亮。在输入密码的过程中,当用户键入错误密码时,报警灯LED D1灯亮。按下复位键,可使报警停止,同时清除所有密码显示。

三、芯片主控设计

3.1系统设计方案

本电路的主要控制部分和接口输入部分都是在FPGA内部通过Verilog HDL 语言实现的,所以FPGA模块为本设计的核心。根据系统要求的功能,以及FPGA 芯片容量的分级,本论文选用ALTERA公司MAX7000S系列的EP2C35F672C8器件作为主控芯片,它是一种基于乘积项结构的复杂可编程逻辑器件,它的基本逻辑单元是由一些与、或阵列加上触发器构成,其中与或阵列完成组合逻辑功能,触发器完成时序逻辑。它的逻辑控制灵活,可反复编程,有利于系统的扩展和修改,而且其集成度高,保密性好。

作为通用电子密码锁,主要由六个部分组成:键盘处理电路、输入密码电路、显示部分、比较密码部分、状态转换部分、输出控制部分。

3.2 FPGA有限状态机

本设计是通过FPGA有限状态机来实现,设计有限状态机最开始的工作时要确定电路,包括哪些状态,比如某个电路包括四个状态,S0,S1,S2,S3。然后对所有状态给出一个状态编码,比如为状态S0赋予编码00,为状态S1赋予编码01,为状态S2赋予编码10,为状态S3赋予编码11。状态编码是状态的标识,保存在寄存器当中,对于此编码形式,只需一个2位的寄存器就可以了。

FSM Encoding Style 主要有:

Binary Encoding

One Hot Encoding

Gray Encoding

状态机可以认为是组合逻辑和寄存器逻辑的特殊租户,它一般包括两个部