多功能数字钟任务书
- 格式:doc
- 大小:108.00 KB
- 文档页数:8
电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时LED灯花样显示。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。
二、系统设计方案 ........................................................................................ 错误!未定义书签。
三、器件选择 ................................................................................................ 错误!未定义书签。
1、74LS160............................................................................................... 错误!未定义书签。
2、74LS107............................................................................................... 错误!未定义书签。
3、74LS90................................................................................................. 错误!未定义书签。
显示屏....................................................................................................... 错误!未定义书签。
实训任务书项目:数字—数字钟电路安装与调试班级:姓名:学号:实训时间:工程实训中心电工电子实训教研室制2013年10月一、课程性质必修,学时:30二、教学目的数字电路相关课程是电子类专业基础课的重要课,目的是让学生获得数字电路的设计、安装、焊接、调试、维修等基础技能,仪器、仪表使用技能,常用工具的使用技能。
三、教学形式与要求教学形式:课堂教学采用多媒体课件、黑板讲授、现场讲解、实训操作、讨论等多种形式。
教学要求:严格按学校学籍管理制度,不得无故迟到、旷课,严格考勤,按时完成作业。
教师任务:讲解基础理论知识、提出要点、组织讨论、指导操作、评阅作业、评价考核。
学生任务:掌握教学内容要求、查阅资料、调研、按期完成作业。
实践教学:根据教学任务书内容选择元件安装调试电路。
学时分配:1/3学时为教师课堂教学和巡回指导,2/3学时学生实训操作、分组讨论、讲解、课堂练习。
作业形式:依据本课特点,作业形式为实测数据记录与分析、实训报告、思考题等。
考核方式:考勤(30%)+平时成绩(40%)+报告(考试)(30%)。
过程评价:平时作业:资料参阅、表达条理、数据记录、按时完成等。
不做0分,雷同0分。
回答问题:表达清晰,论述有条理。
不回答0分。
综合表现:平时投入程度,个人能力等。
考勤评价:旷课1天及以上本周考勤分为0分,迟到、早退1次各扣0.5分,请假1天扣2分,请假两天本周考勤分为0分,请假需假条。
四、参考资料1.《电子技术基础》康华光主编,高等教育出版社。
2.《电子技术实训教程》肖鹏旭主编,东南大学出版社。
3.《电子产品组装、调试、设计与制作实训》刘南平石军编著,北京师范大学出版社。
五、实训任务1 根据分配任务原理图制定工艺文件。
2 本小组工艺文件交叉检查并执行操作。
3 按照工艺文件操作安装电路,安装结果符合工艺要求4 参数测量与记录5总结六、相关知识该课题分为五部分介绍:数字钟显示电路、信号电路、计时电路、校时电路、报时电路的制作、调试过程。
题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。
数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
EDA课程设计报告书 课题名称 多功能数字钟的设计
姓 名 王兴俊
学 号 081220138
院 系 物理与电信工程系
专 业 电子信息工程
※※※※※※※※※ ※※ ※※ ※※
2008级学生
EDA课程设计 .
;. 指导教师 周来秀讲师
2011年 6月13日
一、 设计任务及要求: 设计任务:利用Quartus II软件设计一个多功能数字钟 设计要求:所设计数字钟是基于现实基础上的多功能数字钟,基本上能实现一般 多功能数字钟的功能,包括翻页显示时间和日期,调整时间及日期等等,利用Quartus II强大的编程功能以及完整的仿真系统进行仿真设计,通过仿真设计校正达到设计要求
指导教师签名: 年 月 日
二、指导教师评语:
指导教师签名: 年 月 日 .
;. 多功能数字钟的设计 王兴俊 (湖南城市学院物理与电信工程系电子信息工程专业,湖南益阳,41300) 1设计目的
EDA是电子设计自动化的缩写,在20世纪如年代初从计算机辅助设计、计
算机辅助制造、计算机辅助测试和计算机辅助工程的概念发展而来的。传统意义上或者狭义上的EDA技术是指可编程技术,是以计算机为工具,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子EDA通用软件包,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。利用EDA技术进行电子系统的设计,具有以下几个特征:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋。利用EDA设计电子产品已经是势在必得了。
三、成绩 验收盖章 年 月 日 .
多功能数字钟的电路设计报告书
报告书内容包括:
1.引言:介绍设计任务的背景和目的,解释为什么需要设计多功能数字钟电路。
2.设计要求:详细说明设计的功能要求和性能要求,例如显示时间、闹钟设置、温度显示等。
3.设计方案:展示设计的整体思路和方案,包括电路图和设计参数。
可以提供一些关键性的设计考虑和解决方案。
4.设计步骤:详细描述设计的步骤和过程,包括所选用的元器件、电路图的细节、电路的连接方法等。
5.实验结果:给出实验的结果和测试数据,包括电路工作的准确性、稳定性、可靠性和其他性能指标的测试结果。
6.结论:总结设计的过程和结果,评估电路设计的优点和不足之处,提出可能的改进方案。
8.附录:将电路图、元器件清单、代码等相关材料作为附录提供,方便读者参考和复制。
以上是一个可能的电路设计报告书的框架,具体的内容可以根据设计任务的要求和自己的实际情况进行调整。
多功能数字钟设计
一、设计任务
设计一多功能数字钟并进行仿真。
二、设计要求
基本功能:准确计时,以数字形式显示时、分、秒的时间。
扩展功能:校正时间,定时控制,正点报时。
三、设计方案
数字钟设计方案基本框图如下:
时的设计:
时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00,01,…,22,23,00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00时00分00秒。
这样,可利用反馈置数或反馈清零法进行二十四进制计数。
分、秒的设计:
分和秒计数器都是模M=60的计数器。
计数规律为00,01,…,58,59,00,…。
它们的个位都是十进制,而十位则是六进制。
译码显示:
将计数器输出的4位二进制代码,译码显示出相应的十进制数状态,可利用译码显示器和数码管实现。
校时电路: 分 秒
校时可用1s脉冲快速校正,也可手动产生单次脉冲慢校正至时或者分计数器。
可设置变量来控制实现校正或正常计数。
定时控制:
数字钟在指定的时刻发出信号,实现闹钟功能。
正点报时:
每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。
四、电路仿真与分析。
电子行业电子钟任务书1. 项目背景随着科学技术的发展,电子行业一直保持着快速的发展势头。
电子钟作为电子行业中的一个重要应用领域,具有广泛的应用前景。
为了满足市场的需求,我们决定开展一项电子行业电子钟的研发项目。
2. 项目目标本项目的目标是设计和制作一款功能齐全、外观精美、性能稳定的电子钟产品。
具体目标如下:1.实现可靠的时钟显示功能:能够准确地显示当前的小时、分钟和秒数。
2.提供多种时间显示格式:支持12小时制和24小时制的切换,能够满足不同用户的需求。
3.具备多种报时功能:支持定时报时、闹钟功能等。
4.具备温度、湿度等环境参数监测功能:能够监测当前环境的温度、湿度等参数,并在屏幕上显示。
5.具备多种辅助功能:如日历显示、倒计时功能等。
3. 项目内容本项目的主要内容包括以下几个方面:3.1 硬件设计1.选择合适的处理器芯片和时钟模块:根据产品需求,选择适合的处理器芯片和时钟模块用于控制和显示时间。
2.设计时钟电路:包括时钟源电路、时钟信号传输线路等。
3.设计温度、湿度传感器电路:用于监测环境参数的传感器电路设计。
4.设计报时、闹钟功能电路:用于实现报时和闹钟功能的电路设计。
3.2 软件开发1.开发时钟显示功能:根据设计需求,编写代码实现准确的时钟显示功能。
2.开发时间格式切换功能:编写代码实现12小时制和24小时制切换功能。
3.开发报时功能:编写代码实现定时报时功能。
4.开发温度、湿度监测功能:编写代码实现温度、湿度监测功能。
5.开发辅助功能:编写代码实现日历显示、倒计时功能等。
3.3 外观设计1.设计外观结构:根据产品需求和市场趋势,设计外观结构和尺寸。
2.确定材料和颜色:选择合适的材料和颜色,使产品具有良好的质感和外观效果。
3.进行模型制作和测试:根据设计结果进行模型制作,并进行外观测试和功能验证。
4. 项目计划本项目计划分为以下几个阶段进行:4.1 硬件设计阶段1.完成处理器芯片和时钟模块的选择和采购:预计耗时2天。
多功能数字钟(D)
一、任务
设计制作一个24小时制多功能数字钟。
示意图如下(仅供参考):
二、要求
1.基本要求
(1)具有时间设置(小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。
(2)数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。
(3)220V供电。
2.发挥部分
(1)键盘切换现场环境温度显示。
(0~60℃±1℃)
(2)键盘切换电压显示。
(3)电压欠压、过压报警功能。
(4)非接触止闹功能。
三、评分标准
项目满
分
基本要求设计与总结报告:方案比较、设计与论证,理论分析与计算,电路图及有关设
计文件,测试方法与仪器,测试数据及
测试结果分析。
50实际制作完成情况50
发挥部分完成第(1)项15完成第(2)项15完成第(3)项5完成第(4)项15。
1 多功能数字钟 摘 要:本数字钟电路采用74芯片与大量辅助元件组合而成,由适应振荡电路和分频器构成的秒脉冲发生器产生1HZ脉冲波经过7493和74160完成数字钟的个分时显示功能,通过7448与7段数码管将其显示出来,并通过防抖动电路和开关使其具有稳定的校正功能,通过由JK触发器改装的T出发器对7段数码管的C管脚发出具有反转功能的脉冲波使时电路能够实现12与24的实时转换并在12时显示上下午。 关键词:数字钟 多功能数字钟 数字电子钟
1.引言
所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。
2.总体设计方案 2.1设计思路 (1)熟悉集成电路的引脚安排. (2)掌握各芯片的逻辑功能及使用方法. (3)了解面包板结构及其接线方法. (4)了解数字钟的组成及工作原理. (5)熟悉数字钟的设计与制作 2.2总体设计框图 总体设计框图如图1所示
图1 总体设计框图 3.设计流程与工作原理 2
图2为整个电路图,主要由74160,7493,7448和译码管组成。还有各种辅助器件,不过上图没有秒脉冲发生器,下面再介绍。 起初由秒脉冲发生器提供1HZ的脉冲波送给个秒器的CKA端,将B和D端同时分别接到R01和 R02,当B和D同时为高电平时清零。分别接秒分时的3个开关使电路有校正功能,接个秒器的 开关接秒脉冲发生器当开关接初始端时电路提供秒脉冲波,当电路旋转下时接电源正级,永久提供高电平保证分和时的校正调整。十秒器和十分器一样都把B和C同时接清零端,实现6进制。十时器的开关分别接12和24时信号传输以实现12和24的转换,最左边的开关将7段数码管的ABEFG端接到电源正极,D端接地,C段接T触发器,并接12时电路,当T触发器为1时,触发器实现翻转,故C段也为1秒的脉冲波,当两个开关同时转换则实现了24与12的转换,并且使12时显示上下午。
图2 总体内部结构图 3.1晶体振荡器电路原理与分析
晶的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体,电容和电阻构成晶体振荡器电路,U2实现整形体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定. 电路通过CMOS非门构成功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波.输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器.电容C1,C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能.由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确. 3.2分频器原理与分析
CLR'VCCCLKRCOAQABQBCQCDQDENPENTENDLD'12354691112108713141516C274160CKBCKAR01NCR02QANCQDVCCGNDNCQBNCQC1236457981011121413A17493CKBCKAR01NCR02QANCQDVCCGNDNCQBNCQC1236457981011121413A274931234123412341234123QJQ'KCKBCKAR01NCR02QANCQDVCCGNDNCQBNCQC1236457981011121413A37493CKBCKAR01NCR02QANCQDVCCGNDNCQBNCQC1236457981011121413A4749312341234VCCCLR'VCCCLKRCOAQABQBCQCDQDENPENTENDLD'
12354691112108713141516C2
74160
VCC
VCCVCCVCC
123
VCCVCCVCC
1234567VCC 3
通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。 通常实现分频器的电路是计数器电路。 秒脉冲发生器如图3。
12345678555GNDVCC
TRIOUTRESCONTHRCN5
图3 秒脉冲发生器 3.3时间技术单元原理与分析
时间计数单元有时计数,分计数和秒计数等几个部分. 时计数单元一般为12进制计数器计数器,本试验用12与24小时的实时转换。其输出都为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码. 为减少器件使用数量一般采用10进制计数器74LS93来实现时间计数单元的计数功能.其内部逻辑图如图4
CKBCKAR01NCR02QANCQDVCCGNDNCQBNCQC
1236457981011121413
A4
7493 图4 7493内部逻辑图
秒个位计数单元为10进制计数器,无需进制转换,只需将QB和QD分别和R01与R02相连即可,使QB与QD同时为高电平时清零。 秒十位计数单元为6进制计数器,需要进制转换.将10进制计数器转换为6进制计数器的电路连接。此时用QB与QC分别与R01与R02相连。时BC同时为高电平是清零。 分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同, 4
时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12和24进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12和24进制转换.利用1片74LS160实现 如图5其中CLR是异步清零端,LOAD是预置端,A、B、C、D是预置数据输入端,ENP和ENT是计数使能端,RCO是进位输出端,CLK为时钟控制端。其功能与74161基本相同
CLR'VCCCLKRCOAQABQBCQCDQDENPENTENDLD'
12354691112108713141516C2
74160 图5 74160内部逻辑图 3.4译码驱动及显示单元
计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用7448作为显示译码电路,选用LED数码管作为显示单元电路. 如图6 BVCCCQFLT'QGBIQARBI'QBDQCAQDGNDQE
12345678910111213141516
7448 图6 7448内部逻辑图
3.5显示器原理(数码管)
数码管是数码显示器的俗称。常用的数码显示器有半导体数码管,荧光数码管,辉光数码管和液晶显示器等。 本设计所选用的是半导体数码管,是用发光二极管(简称LED)组成的字形来显示数字,七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。半导体数码管有共阳极和共阴极两种类型。共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。 当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平有效的译码器去驱动。如图为此实验用的4管脚数码管,图7 5
1234 图7 4管脚数码管 表1为四段数码管中文资料 表1 四段数码管功能表 D C B A 显示数00000000110010200113010040101501106011171000810019101010101111110012110113111014111115
它是由7448芯片如图3.3与7管脚数码管组合而成的.7管脚数码管如图8
1234567 图8 7管教数码管 3.6译码器原理(74LS48)
译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用, (1)LT(——):试灯输入,是为了检查数码管各段是否能正常发光而设置的。当LT(——)=0时,无论输入A3 ,A2 ,A1 ,A0为何种状态,译码器输出均为低电平,若驱动的数码管正常,是显示8。 6
(2)BI(—):灭灯输入,是为控制多位数码显示的灭灯所设置的。BI(—)=0时。不论LT(——)和输入A3 ,A2 ,A1,A0为何种状态,译码器输出均为高电平,使共阳极数码管熄灭。 (3)RBI(——-):灭零输入,它是为使不希望显示的0熄灭而设定的。当对每一位A3= A2 =A1 =A0=0时,本应显示0,但是在RBI(——-)=0作用下,使译码器输出全为高电平。其结果和加入灭灯信号的结果一样,将0熄灭。 (4)RBO(———):灭零输出,它和灭灯输入BI(—)共用一端,两者配合使用,可以实现多位数码显示的灭零控制。 3.7校时电源电路原理与分析 当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数图3-通路,并采用正常计时信号与校正信号可以随时切换的电路接入 带有消抖动电路的校正电路如图9
VCCVCC
图9 防抖动电路原理图 4 总结与体会
在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法. 在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了. 在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全