数字逻辑 课程设计-交通灯设计报告
- 格式:doc
- 大小:196.00 KB
- 文档页数:11
计算机科学与工程学院综合设计报告设计名称:数字逻辑综合设计设计题目:可人工干预的交通灯控制器学生学号:专业班级:学生姓名:学生成绩:指导教师(职称):课题工作时间:2010/12/27 至2011/01/07成绩评定表学生姓名:学号:班级:答辩记录表指导教师评语计算机科学与工程学院综合设计报告目录摘要 (I)Abstract (II)第一章概述............................................................. .. (1)1.1 背景 (1)1.2 目的 (1)1.3 意义 (1)1.4 原理............................................................................................... ..1第二章设计简介及设计方案论述. (3)2.1 设计流程图 (3)2.2 方案论述 (3)第三章详细设计 (5)3.1 555计时器构成的多谐振荡器 (5)3.260进制计数器 (6)3.360进制倒计时计数器 (8)3.4人工干预 (9)3.5红黄绿灯控制电路 (10)3.6设计总电路及元件清单 (11)第四章设计结果及分析 (13)4.1 设计结果 (13)4.2 过程分析 (13)总结 (14)致谢 (15)参考文献 (16)计算机科学与工程学院综合设计报告摘要随着社会经济的发展和机动车辆的不断增加,城市交通问题越来越引起人们的关注。
交通对我们生活的影响更加明朗,已成为交通管理部门需要解决的重要问题之一。
很多因素都会影响在道路中的行车状况,所以一个功能健全的交通控制器尤为重要。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
如何利用所学知识设计出来一个最简单合适的可人工干预的交通灯电路是此次设计主要解决的问题。
设计报告<一> 课程性质数字逻辑课程设计<二> 课程目的训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
本次电脑仿真所用的软件版本为EWB Version 5.0c<三>课程设计题目题目:交通灯控制电路的设计要求:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
〈四〉设计原理与参考电路1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图1-1所示。
它主要由倒计时计数电路、信号灯转换器和秒脉冲信号发生器组成。
秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁控制电路的标准时钟信号源,倒计时计数器输出两组驱动信号T 5和T 0,经信号灯转换器控制信号灯工作,倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。
2、信号灯转换器两方向车道的交通灯的运行状态共有4种 假设主干道绿灯先亮到最后5秒蓝灯闪烁直到红灯亮,同时支道路口红灯亮主干道红灯亮后,支道绿灯亮,同样到最后5秒蓝灯秒脉冲 发生器闪烁直到红灯亮。
一轮循环完成后进入下一轮循环。
3、状态实现方法1> 计数器实现:控制十位的74190的CLK的脉冲信号来自个位的74190的QA 和QB信号用与门连接产生的信号,当个位显示9时,QA和QB上的信号都为高电平1,而且只有9才有这种信号特征,这正是选这个信号为十位CLK的信号脉冲的原因,一轮倒计时结束进入下一轮的信号接的是两个74190的信号输出即十位QA、QB、QC、QD和个位的QA、QB、QC、QD,用一个8脚或门,这样只有在两个显示芯片的信号都为0的情况才为0,也就是倒计时可以一直的持续下去。
数字电路课程设计--交通灯控制器的设计院系:姓名:指导教师:完成日期:2011年6月7日数字电路课程设计--交通灯控制器的设计一、课程设计目的1.熟悉集成电路的引脚安排2.掌握各芯片的逻辑功能及使用方法。
3.了解数字交通灯控制电路的组成及工作原理4.学会用仿真软件对设计的原理图进行仿真。
二、设计要求及原理:要求:设计一个主要街道和次要街道十字路口的交通灯控制器。
主要街道绿灯亮6s,黄灯亮2s;次要街道绿灯亮3s,黄灯亮1 s。
依次循环。
当主要街道亮绿灯和黄灯时,次要街道亮红灯(8s),当次要街道亮绿灯和黄灯时,主要街道亮红灯(4 s)。
用MG,MY,MR,CG,CY,CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。
原理:根据设计要求可知,各灯状态转换的周期为12s,因此可设计一个12进制的加计数器,来控制秒数,当计数值达到1011时,通过反馈置数法,将计数器清零,从而达到循环效果。
列出每秒各灯亮的情况的真值表,通过真值表得到相应的逻辑图,便可实现对交通灯的控制。
三、设计步骤:1、根据设计要求列出交通灯控制器的真值表如下:交通灯控制器真值表:QD QC QB QA MG MY MR CG CY CR 0 0 0 0 1 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 1 0 1 0 1 1 0 0 0 0 1 0 1 1 0 0 1 0 0 0 10 1 1 1 0 1 0 0 0 11 0 0 0 0 0 1 1 0 01 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 1 0 0 1 0 1 1 0 0 1 0 1 0 1 1 0 0 X X X X X X 1 1 0 1 X X X X X X 1 1 1 0 X X X X X X 1 1 1 1 X X X X X X2、从元器件库中拖出逻辑转换仪,根据交通灯控制器的真值表,获得MG的最简逻辑表达式。
数字逻辑课程设计报告——交通灯控制器学院名称:学生姓名:专业名称:班级:实习时间:2013年6月3日—— 2013年6月14日一、实验目的:1.掌握时序逻辑电路的设计方法,灵活运用理论知识。
2.提高自己的数字系统设计能力和实际动手能力。
3.了解如何将数字电路设计应用到自动控制系统中,从而提高解决实际问题的能力。
二、实验任务与要求1.红绿灯交通信号系统外观示意图2.总体任务及要求⑴ 在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯、黄灯、红灯;另一个方向是红灯、绿灯、黄灯。
⑵ 设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上红灯亮的时间是30s ,另一个方向上绿灯亮的时间是20s ,黄灯亮的时间都是5s 。
⑶ 用两组数码管,实现双向倒计时显示。
3.总时序工作流程图三、总体方案的设计1、主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来,可以通过两位二进制数表示所需状态(00—Gr, 01—Yr, 11—Rg, 10—Ry),循环状态:(00—01—11—10—00)。
可以设计一个模为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路,所以可以利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。
主控电路2、脉冲输出部分脉冲输出部分为555时基芯片构成的多次谐波震荡器,电路原理图如右:其中器件参数分别为R1=4.7kΩ,R2=150kΩ,C1=4.7μF,C2=0.01μF。
产生的时钟脉冲为周期T=1s的方波。
电容C1充电时,暂稳态持续时间为tw1=0.7(R1+R2)C=0.7×(150k+4.7k) ×4.7μ≈0.5s电容C1放电时,暂稳态持续时间为tw2=0.7R2C=0.7×150k×4.7μ≈0.5s因此,电路输出矩形脉冲的周期为T= tw1+tw2≈1s输出占空比为q= tw1/T≈50%脉冲输出3、红绿灯显示电路红绿灯显示是表示电路所处状态,受到主控电路控制,即主控电路的输出(A和B)决定了主干道和支干道的红绿灯的情况。
实验三:交通灯控制一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。
控制这些交通灯,使它们按下列规律亮,灭。
VHDL源代码:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY light isport (restfunc,emergency,clk: in std_logic;--restfunc为复位信号,emergency为紧急信号,clk为50kHz频率时钟light : out std_logic_vector(11 downto 0));--12个指示灯ARCHITECTURE func of light istype state is (s1,s2,s3,s4); --交通灯4个状态signal current_state,next_state: state;--current_state,next_state为别为当前状态,转移状态signal en1,en2,en3,en4, c,c1,c2,c3,c4: std_logic;--en为使能信号,c为进位信号signal temp1: integer range 0 to 49999;signal temp2: integer range 0 to 99999;signal temp3: integer range 0 to 249999;signal temp4: integer range 0 to 9999;begin--1s计数器,对50kHz进行50000分频process(clk,en1)beginif (clk'event and clk='1') then --上升沿判断if (temp1=49999 and en1='1' and emergency='0') thentemp1<=0;c1<='1';--进位elsif (en1='1' and emergency='0') thentemp1<=temp1+1;c1<='0';end if;end if;if (en1='0') thenc1<='0';temp1<=0;end if;end process;process(clk,en2)--2s计数器,对50kHz进行100000分频beginif (clk'event and clk='1') thenif (temp2=99999 and en2='1' and emergency='0') thentemp2<=0;c2<='1';elsif (en2='1'and emergency='0') thentemp2<=temp2+1;c2<='0';end if;end if;if (en2='0') thenc2<='0';temp2<=0;end if;end process;process(clk,en3)--5s计数器,对50kHz进行250000分频beginif (clk'event and clk='1') thenif (temp3=249999 and en3='1' and emergency='0') thentemp3<=0;c3<='1';elsif (en3='1' and emergency='0') thentemp3<=temp3+1;c3<='0';end if;end if;if (en3='0') thentemp3<=0;c3<='0';end if;end process;process(clk,en4) --0.2s计数器,对50kHz进行10000分频beginif (clk'event and clk='1') thenif (temp4=9999 and en4='1') thentemp4<=0;c4<=not c4;elsif (en4='1') thentemp4<=temp4+1;end if;end if;end process;c<=c1 or c2 or c3; --进位信号process (c,restfunc) --状态转移,复位情况beginif (restfunc='1') then--复位信号current_state<=s1;elsif (c'event and c='0') then--遇到计数器进位转移到下一状态current_state<=next_state;end if;end process;process (current_state,emergency) --状态转移,紧急情况beginif(emergency='1') then--紧急状态红灯全亮light<="000000001111";elsecase current_state iswhen s1=> --东西方向绿灯亮,南北方向红灯亮,延时5秒en1<='0';en2<='0';light<="010*********";en3<='1';next_state<=s2; --继续下一状态,下同when s2=>--东西方向黄灯闪,南北方向红灯亮,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 7 )<="00000";light(6)<=c4;light(5)<='0';light(4)<=c4;light(3 downto 0)<="1010";next_state<=s3;when s3=>--东西方向红灯亮,南北方向绿灯亮,延时5秒en2<='0';en4<='0';en3<='1';light<="101000000101";next_state<=s4;when s4=> --东西方向红灯闪,南北方向黄灯闪,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 8 )<="0000";light(7)<=c4; --闪灯控制light(6)<='0';light(5)<=c4;light(4 downto 0)<="00101";next_state<=s1;end case;end if;end process;end func;四、实验小结依旧注意4个分频。
前言现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。
因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。
交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。
有了交通灯人们的安全出行有了很大的保障。
自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。
尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。
本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。
因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。
本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。
设计任务及要求设计一个十字路口的交通灯定时控制系统,基本要求如下:(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。
(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。
(3)黄灯亮时,要求每秒钟闪亮一次。
(4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数;(5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。
本设计由王宇同学完成。
由于所学知识有限,设计中难免出现错误,请老师批评指正。
目录第一章设计任务及设计目的 (1)第二章系统概述 (2)2.1 系统概述 (2)2.2 交通灯逻辑分析 (2)2.3总体设计方案 (2)第三章单元电路设计与分析 (5)3.1秒脉冲信号发生器的设计 (5)3.2定时器的设计 (5)3.3 控制器的设计 (6)3.4 显示电路的设计 (9)第四章综述及心得体会 (10)4.1 系统综述 (10)4.2 总结及心得体会 (10)附录 (12)附录一实验电路图 (12)附录二芯片引脚图 (13)附录三元器件清单 (16)附录四焊接电路板 (17)参考文献 (18)交通灯定时控制系统的设计、制作摘要:在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
交通灯控制器+数字电路课程设计报告交通灯控制器是交通管理系统中的重要组成部分,其主要作用是控制道路上的交通信号灯。
随着数字电路技术的发展,交通灯控制器也逐渐向数字化、智能化方向发展。
本文将详细介绍一种基于数字电路的交通灯控制器设计,以及该设计方案的实现和效果。
一、设计方案1.硬件设计硬件设计方案主要包括数字电路的选择、交通灯的控制模块、传感器等。
本方案选用FPGA芯片作为控制芯片,该芯片具有先进的数字信号处理能力和可编程性,便于开发和定制。
交通灯的控制模块包括红灯、黄灯、绿灯三个信号灯的控制器,以及车辆、行人传感器等。
其中车辆传感器主要用来检测车流量,行人传感器主要用来检测行人通行情况。
2.软件设计软件设计方案主要包括程序的设计和调试,以及人机界面的设计和开发。
程序设计方案采用Verilog HDL语言进行实现,采用时序逻辑设计的思路来编写程序,实现红绿灯的控制和状态转移。
人机界面采用C语言进行编写,通过串口通信与控制芯片进行数据传输和控制。
二、实现过程在设计方案确定后,我们进一步开始实现。
首先是电路的焊接和测试,在确定电路正常无误后,再完成程序的编写和调试。
最后是人机接口的开发和完善。
具体实现流程如下:1.电路焊接首先进行电路布线和焊接,将FPGA芯片、光耦隔离器、电位器等元器件焊接到电路板上,以及信号灯、传感器等元器件的接入。
2.程序编写利用Verilog HDL语言编写程序,主要包括红绿灯状态的转移逻辑和相应的信号输出控制。
程序设计过程中,需要注意时序和状态的转移。
3.调试测试完成程序编写后,需要进行相应的调试测试。
通过仿真测试,检查程序逻辑是否正确,排除潜在问题。
在硬件实验平台上进行测试,确定系统能够正常工作。
4.人机界面开发利用C语言编写人机界面,实现与交通灯控制器的交互控制。
实现车辆、行人传感器的数据采集和显示,以及人手动控制交通灯的功能。
三、实现效果通过测试和实验验证,本文的交通灯控制器设计方案具有以下优势:1.使用FPGA芯片作为控制芯片,具有较强的可编程性和数字信号处理能力。
石家庄经济学院信息工程学院数字逻辑课程设计报告题目十字路口交通灯控制器姓名赵永超学号************班号4091090706指导老师成绩2010年6月目录1. 功能描述 (52)2. 开发工具选择 (52)3. 设计方案 (52)4.模块描述 (52)5. VHDL实现 (52)6. 调试仿真 (52)7. 课程设计回顾总结 (52)参考文献 (52)附录 (52)1.功能描述ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。
信号灯在高电平时亮,低电平时熄灭。
十字路口的交通灯控制电路功能:十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。
2.开发工具选择选择VHDL语言描述,利用Quartus II 5.1工具。
3. 设计方案在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。
A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。
十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。
(1)、首先对问题进行仔细分析设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:(2) 经过认真分析后制定设计方案如图3十字路口交通灯控制系统由定时器模块、控制器模块和输出模块组成。
西安邮电学院数字电子课程设计报告书——交通信号灯控制器院系名称:自动化学院学生姓名:专业名称:智能科学与技术班级:实习时间:2011年6月20日至2011年7月1日一、设计任务1.课程性质数字逻辑课程设计2.课程目的训练学生综合所学的《数字逻辑》的基本知识,包括熟悉集成电路的引脚安排,各芯片的逻辑功能及使用方法,了解面包板结构及其接线方法,通过使用Proteus仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试的综合功能。
3.交通灯功能概述红绿灯交通信号系统为模拟实际的十字路口交通信号灯。
外部硬件电路包括:两组红黄绿灯(配合十字路口的双向指挥控制)、一组手动与自动控制开关(针对交通警察指挥交通控制使用)、倒计时显示器(显示允许通行或禁止通行时间)。
4.设计要求(1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。
(2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间是20s,另一个方向上绿灯亮的时间是30s,黄灯亮的的时间都是5s。
(3)选做:当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止。
当特殊情况结束后,按下自动控制开关,恢复正常状态。
(4)选做:用两组数码管,实现双向倒计时显示。
二、设计思路1. 1Hz脉冲信号产生电路由于设计需要,八位数码管需要每隔一秒变动一次,所以采用555芯片产生1Hz脉冲信号输出,作为数码管控制部分的输入。
2. LED控制部分在实际情况下,一个十字路有一个主干道和一个支干道。
主干道的车流量较大,即要求主干道绿灯亮的时间长,支干道正好相反。
可以得出:R = Q2 r = Q2~Y = Q1&(Q2~) y = Q1&Q2G = (Q1~)&(Q2~) g = (Q1~)&Q23. 数码管控制部分用74LS48驱动数码管,为实现倒计时,则需要74LS161通过非门与74LS48芯片连接,1Hz的脉冲信号输入到74LS161。
第一章系统概述1.1系统开发背景和意义1.1.1系统开发背景随着社会经济的发展,城市交通问题越来越引起人们的关注。
人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。
随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。
交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
1.1.2 系统开发意义自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。
尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。
我所设计的交通灯虽然很简单,但是在一定程度上也能符合现代交通灯的要求。
1.1.3设计任务设计一个交通灯信号控制器。
有一条主干道和一条支干道的汇合点形成的十字交叉路口,为确保车辆安全、迅速地通行,在交叉处的每个入口处设置了红、黄、绿三色信号灯.红灯亮禁止通行;绿灯亮允许通行;黄灯亮提醒司机将行驶中的车辆禁行线之外.1.1.4设计要求主、支干道交替通行,主干道每次放行9T,支干道每次放行5T(T=5秒)。
每次绿灯变成红灯时,黄灯亮T。
(此时另一干道的红灯不变)。
第二章原理分析2.1状态分析表2-1交通灯状态表(假设主干道先通行,并且时刚从0秒开始)时钟主干道交通灯状态支干道交通灯状态1~9T 红灭黄灭绿亮红亮黄灭绿灭10T 红灭黄亮绿灭红亮黄灭绿灭11~16T 红亮黄灭绿灭红灭黄灭绿亮17T 红亮黄灭绿灭红灭黄亮绿灭由图可以看出具体的循环周期为17T,因此我们可以采用74LS190来做控制器,而T=5秒。
设计报告
<一> 课程性质
数字逻辑课程设计
<二> 课程目的
训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
<三>课程设计题目
题目:交通灯控制电路的设计
要求:
1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;
3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
5、同步设置人行横道红、绿灯指示。
〈四〉设计原理与参考电路
1、分析系统的逻辑功能,画出其框图
交通灯控制系统的原理框图如图1-1所示。
它主要由倒计时计数电路、信号灯转换器和秒脉冲信号发生器组成。
秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁控制电路的标准时钟信号源,倒计时计数器输出
两组驱动信号T 5和T 0,经信号灯转换器控制信号灯工作,倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。
2、信号灯转换器
两方向车道的交通灯的运行状态共有4种(因人行道的交通灯和车道的交通灯是同步的,所以暂时先不考虑),如图1-2所示
信号灯状态与车道运行状态如下:
S0:东西方向车道的绿灯亮,车道通行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行
S1:东西方向车道的黄灯亮,车道缓行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行
S2:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的绿灯亮,车道通行,人行道禁止通行
S3:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的黄灯亮,车道缓行,人行道禁止通行
Ga=1:东西方向车道绿灯亮
Ya=1:东西方向车道黄灯亮
Ra=1:东西方向车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮
Gb=1:南北方向车道绿灯亮
Yb=1:南北方向车道黄灯亮
Rb=1:南北方向车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮
方案一:
若选集成计数器74163,74163是一个具有同步清零、同步置数、可保持状态不变的4位二进制同步加法计数器。
表1-1是它的状态表。
表1-1 74163的状态表
设状态编码为:S0=0000 S1=0001 S2=0010 S3=0011,则其状态表为:
表1-2 状态编码与信号灯关系表
电路接法如下:
图1-3
方案二:
若选JK触发器,设状态编码为:S0=00 S1=01 S2=11 S3=10,其输出为Q1 Q0,则其状态表为:
表1-3 状态编码与信号灯关系表
电路接法如下:
图1-4
对方案一和方案二进行比较,发现方案二无论是从原理还是从接法画线上,都是比较简单易懂,工作效率高,而且不容易出错。
故信号灯转换器选择方案二的接法,即用JK触发器进行信号灯的转换。
3、倒计时计数器
十字路口要有数字显示,作为倒计时提示,以便人们更直观地把握时间。
具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1,计数方式工作,直至减到数为“5”和“0”,十字路口绿、黄、红灯变换,
一次工作循环结束,而进入下一步某方向的工作循环。
在倒计时过程中计数器还向译码器提供模5的定时信号T5和模0的定时信号T0。
倒计时显示采用七段数码管作为显示,它由计数器驱动并显示计数器的输出值。
计数器选用集成电路74190进行设计较简便。
74190是十进制同步可逆计数器,它具有异步并行置数功能、保持功能。
74190没有专用的清零输入端,但可以借助QA、QB、QC、QD的输出数据间接实现清零功能。
表1-4 74190的状态表
图1-5
现选用两个74190芯片级联成一个从99倒计到00的计数器,其中作为个位数的74190芯片的CLK接秒脉冲发生器(频率为1),再把个位数74190芯片输出端的QA、QD用一个与门连起来,再接在十位数74190芯片的CLK端。
当个位数减到0时,再减1就会变成9, 0(0000)和9(1001)之间的QA、QD同时由0变为1,把QA、QD与起来接在十位数的CLK端,此时会给十位数74190芯片一个脉冲数字减1,相当于借位。
具体连接方法如图1-5所示。
信号LD由两个芯片的8个输出端用或门连起来,决定倒计时是置数,还是计数。
工作开始时,LD为0,计数器预置数,置完数后,LD变为1,计数器开始倒计时。
当倒计时减到数00时,LD又变为0,计数器又预置数,之后又倒计时,如此循环下去。
图1-6
预置数(即车的通行时间)功能:如图1-6所示,8个开关分别接十位数74190芯片的D、C、B、A端和个位数74190芯片的D、C、B、A端。
预置数的范围为6~98。
假如把通行时间设为45秒,就像图1-5的接法,A接0,B接1,C接0,D接0,E接0,F接1,G接0,H接1。
(接电源相当于接1,悬空相当于接0)
图1-7
向译码器提供模5的定时信号T5和模0的定时信号T0:
T0表示倒计时减到数“00”(也即绿灯的预置时间,因为到00时,计数器重新置数),T0=1,此时T0给译码器一个脉冲,使信号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。
接法为:把两个74190计数器的8个输出端用一个或非门连起来。
T5表示倒计时减到数“05”时。
T5=1,此时T5给译码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。
接法为:当减到数为“05”(0000 0101)时,把十位计数器的输出端QA、QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接起来。
具体连接方法如图1-7所示。
4、黄灯闪烁控制
要求黄灯每秒闪一次,即黄灯0.5秒亮,0.5秒灭,故用一个频率为2的脉冲与控制黄灯的输出信号用一个与门连进来,再接到黄灯。
5、整个交通灯控制系统的布局
图1-8
<五> 仿真过程与效果分析
1、根据题目的要求,整个交通灯控制系统需要有4个时间显示器,10个交通灯。
但由于4个时间显示器是由同一个倒计时计数器控制,所以我在设计图1-8电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1个时间显示器。
另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接东西方向车道的红灯。
所以在图1-8电路中就只接了6个灯。
2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个
名为main的子电路中。
然后再在子电路外面接输入端和输出端。
具体如图1-9所示:
图1-9
3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。
时间显示器从预置的45秒,以每秒减1,减到数5时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。
减到数1时,1秒后显示器又转换成预置的45秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红灯。
如此循环下去。
4、修改通车时间为其它的值再进行仿真(时间范围为6~98秒),效果同3
一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数5,车灯进行一次转换,到0秒时又进行转换,而且时间重置为预置的数值,如此循环。
<六>体会总结
1、通过这次课程设计,加强了我动手、思考和解决问题的能力。
在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。
所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。
这个方案总共只用了四个芯片,分别为2 个74190计数器,2个JK触发器。
2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。
所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。
不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。
或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!
3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。
而且还可以记住很多东西。
比如一些芯片的功能,平时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。
故一个小小的课程设计,对我们的作用是如此之大。