Xilinx-XADC的使用中文介绍

  • 格式:docx
  • 大小:63.41 KB
  • 文档页数:10

下载文档原格式

  / 10
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.前言

赛灵思的7系列FPGA 和Zynq 器件创造性地在片上集成了模数转换器和相关的片上传感器(内置温度传感器和功 耗传感器),这是相比赛灵思前一代产品来新增加的特性,可在系统设计中免去外置的

ADC 器件,有力地提高了系统

的集成度。本文就这一新模块的使用进行简单介绍,希望对大家有所帮助,当然如有不当之处还请高手指正,以免误 导大家,欢迎大家补充。

2. XADC 模块介绍

2.1 XADC 模块概述

Zynq 器件XADC 模块包括2个12比特1 MIPS 的模数转换器和相关的片上传感器, 模数转换器能为系统应用提供通用目 的

的高精度的模拟接口,下图是 XADC 模块的框图:

Temperature Supply VQCINT Sensor Sensors VCJC ^X

a VcCBRAM

XADC Block Diagram

XADC 模块支持不同的操作模式, 如外步触发同步采样模式; 可接受不同类型的模拟输入信号, 如单端或差分信号;

最多能接受17路外部的模拟输入信号。

XADC 模块也包括一定数量的片上传感器用来测量片上的供电电压和芯片温度,

这些测量转换数据存储在一个叫状态寄存器( status registers

)的专用寄存器内,可由

FPGA 内部叫动态配置端口

(Dynamic

Reconfiguration

Port (DRP))的16位的同步读写端口访问。 ADC 转换数据也可以由 JTAGTAP 访问,这种情况下

VREP_O VREFNJ)

7 ? E

on-cri|)R&f 125V

I VP 0 □—— mj) O ——

VAUXP 0J O —— VAUXfJ q o --------------

r VAUXPE121O —

VAUXN[t2P — VAUXP[13Jo —— VAUXN[13lO -------- VAUXP[UJo —— VAUXN[14lO -------- VAUXP|15iO -------- VAUXN[15JO^—

Control

P 却grs 怕临

&4 X Wbiis ReaaWnle

—*

—►

Status fl egtsters

O X 16 bits Bea 寸 Only

1

1 DBP

1

Mux

zJ

M L W 12-K 1 MSPS ADC A

V CCPWT ^7

VOCPMJ 巴

1 MSPS ADCB

JTAC

FPGA Inlwoonn^t

并不需要去直接例化XADC模块,因为这是一个已经存在于FP GAJTAG结构的专用接口,此时因为没有在设计中直接例化XADC模块,XADC模块就工作在一种预先定义好的模式叫缺省模式,缺省模式下XADC模块专用于监视芯片上的供电

电压和芯片温度。XADC 模块的操作模式是由用户通过 DRP 或 JTAG 接口写控制寄存器来选择的,控制寄存器的初始值有

可能在设计中例化 XADC 模块时的块属性(block attributes

22 XADC 模块管脚需求

E Package Pins

这有两种推荐配置: 图中左边XADC 由 Vccaux(1.8V)供电,并且用一个外部的1.25V 参考源。用外部参考源在精度 和热飘移方面可以获得更好的性能,一个磁珠用隔离模拟地和数字地,这可避免较常用的模拟和数字电路共地而把噪 声带入模拟电路的缺点;图中右边

XADC 是用片内的参考源,这时 VREFP 却必须按图中所示连接到地。

)指定。

所有的XADC 模块专用管脚都位于 bankO,所以都加有 _0的后缀。下图表示了 XADC 勺基本输入输出需求:

Use External Reference IC Enatrie On-Chi p Reterence

1,SV-5V

o

1.257x0.3% 6一»

50阿吒

--------- 9- 严」J■,

/ 10 pF

U

V

CCADC a

H —:

VccAux 0 ev±s%)

O

ADC

100 nF

'^PFFP d VcCADC 0

ADC

=lOOnF

FeirrHe lor HIIF nolsa Iso lai ton

Ferrite tor HF noise Isdahon

XADC Pinout Requirements

hbs.cetDp.ci

V|N

V,Po

Vp

5 0

F表列出了XAD®关的引脚和连接:

6, 7, 13,14,15。Zynq-7000 AP SoC 器件由于封装注:XADC模块有一专用的支持差分输入的模拟通道输入引脚

脚(ADxP or ADxN x为0到15),Kintex-7 不支持辅助通道不通可能不会支持所有的辅助通道,具体要查询封装文件。

3.基本使用方法

3.1 XADC 模块的操作模式

最基本的操作模式叫缺省模式,缺省模式下 XADC 莫块专用于监视芯片上的供电电压和芯片温度,这时

需要用户对其进行配置。

另外一个为同步采样模式,按预定的步骤顺序采样两个外部的模拟输入信号,并把采样结果存储在状态寄存器内。 单通道模式,在这种模式下,用户必须通过写控制寄存器 40H 的CH4到CH0比特来选择一个要采样的通道。另外

控制寄存器 40H 的BU(analog input mode) 和ACQ( settling time

)两个参数也必须设置。

独立ADC 模式,在这种模式下, ADCA 是固定用来实现一个类似于缺省模式的“监控模式”,报警输出功能是有效 的,用户必须设置正确的报警门限;

ADC B 只能用来测量外部的模拟输入。

Sin gle P ass 模式,在这种模式下,按用户选择的采样顺序序列顺序采样一遍后停止 采样顺序序列是由用户写序列通道选择寄存器

48H 和49H 来设定的。

Continuous Sequenee 连续序列模式,这种模式和 Single Pass 模式很相似,区别是采样完一遍后系统自动重新开

始序列采样,故为连续采样。

模式选择是由控制寄存器 41H 的SEQ3到SEQOt 匕特决定,具体如下图示:

3.2 XADC primitive

XADC 模块的使用方法,一是直接用FPGAJTAG 专用接口访问,这时XADC 模块工作在缺省模式;二是在设计中例化XADC 模块,这是可以通过 FPGA 逻辑或ZYNQ 器件的PS 到ADC 模块的专用接口访问。例化 XADC 莫块有两种基本形式:一是 XADC 莫块并不

ADC