IC笔试总结(有点乱)
- 格式:pdf
- 大小:2.20 MB
- 文档页数:48
1:什么是同步逻辑和异步逻辑?(汉王)同步逻辑是时钟之间有固定的因果关系。
异步逻辑是各时钟之间没有固定的因果关系。
同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。
改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。
异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。
2:同步电路和异步电路的区别:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。
异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。
3:时序设计的实质:时序设计的实质就是满足每一个触发器的建立/保持时间的要求。
4:建立时间与保持时间的概念?建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。
保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。
5:为什么触发器要满足建立时间和保持时间?因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。
这就是为什么要用两级触发器来同步异步输入信号。
这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。
(比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。
招聘IC验证工程师笔试题及解答(某大型央企)(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、在数字逻辑设计中,下列哪个术语描述的是电路在输入信号改变之后,输出信号稳定地反映输入信号变化所需的时间?A. 建立时间B. 保持时间C. 传播延迟D. 竞争冒险2、在IC设计流程中,用于检查设计是否符合预定功能规范的步骤被称为?A. 仿真B. 物理设计C. 逻辑综合D. DFT(Design for Testability)3、以下关于集成电路(IC)验证的描述,正确的是:A. IC验证主要是针对硬件描述语言(HDL)的仿真过程B. IC验证只关注电路功能的正确性,不考虑时序问题C. IC验证过程不包括测试向量生成D. IC验证是设计阶段和制造阶段之间的唯一接口4、在IC验证中,以下哪种技术用于检测设计中的时序错误?A. Functional CoverageB. Formal VerificationC. Power-aware VerificationD. Static Timing Analysis5、在IC验证流程中,哪一种验证方法主要用于确保设计符合规范并且功能正确?A. 代码覆盖率分析B. 功能仿真C. 时序分析D. 物理验证6、下列哪种语言不是专门用来编写硬件描述模型的语言?A. VerilogB. VHDLC. C++D. SystemVerilog7、以下哪种技术不属于IC验证中的仿真技术?A、模拟仿真B、时序仿真C、功能仿真D、形式化验证8、在IC验证中,以下哪个工具主要用于验证组合逻辑电路?A、VCSB、VerilatorC、FormalD、ModelSim9、题干:以下哪种类型的设计是IC验证工程师最常遇到的?A. 组合逻辑电路设计B. 数字模拟混合电路设计C. 数字信号处理电路设计D. 集成电路芯片设计二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些工具或技术通常用于IC(集成电路)验证?()A、VerilogB、VHDLC、SystemVerilogD、Formal验证工具E、仿真软件F、脚本语言(如Perl、Python)2、以下哪些概念或方法在IC验证过程中是非常重要的?()A、功能覆盖率B、时序分析C、逻辑综合D、静态时序分析E、随机测试F、断言(Assertion)3、以下哪些是IC验证中常用的验证方法?()A. 仿真验证B. 形式验证C. 动态验证D. 静态验证E. 硬件在环验证4、以下哪些是IC验证中常用的验证语言?()A. SystemVerilogB. VerilogC. VHDLD. C/C++E. Python5、以下哪些是IC验证工程师在验证过程中常用的验证方法?A. 功能验证B. 性能验证C. 时序验证D. 功耗验证E. 安全验证6、在Verilog或SystemVerilog中,以下哪些是用于描述组合逻辑的语句?A. always_combB. always_ffC. alwaysD. initial7、以下哪些是IC验证中常见的验证方法?()A. Functional VerificationB. Structural VerificationC. Coverage-driven VerificationD. Formal VerificationE. Power Verification8、在IC验证过程中,以下哪些是常用的验证语言或工具?()A. SystemVerilogB. VerilogC. VHDLD. UVM (Universal Verification Methodology)E. assertion-based verification9、以下哪些是IC验证工程师在验证过程中常用的验证方法?()A. 仿真验证B. 硬件加速验证C. 验证语言(如SystemVerilog、Verilog)D. 设计实现E. 动态测试三、判断题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证过程中,需要确保所有设计时考虑的时序约束都得到了正确的实现和测试。
ic工作总结范文
IC工作总结。
IC工作总结是对工作内容、工作成果、工作收获进行全面梳理和总结的过程。
在IC工作总结中,我们可以对自己的工作进行深入的反思和分析,找出工作中存
在的问题和不足之处,同时也可以总结出工作中的成功经验和有效方法,为今后的工作提供借鉴和指导。
在IC工作总结中,我们应该首先梳理自己的工作内容,包括工作任务、工作
目标、工作计划等方面。
通过对工作内容的梳理,可以清晰地了解自己在工作中做了哪些事情,取得了哪些成果,有哪些收获。
其次,我们需要对工作中存在的问题和不足进行深入分析和反思。
在工作中,
难免会出现各种问题和困难,我们需要认真总结这些问题的原因和解决方法,以便今后能够更好地应对类似的情况。
同时,我们也要总结工作中的成功经验和有效方法。
在工作中,我们也会积累
一些宝贵的经验和方法,这些经验和方法对于今后的工作同样具有重要的指导意义。
通过总结成功经验和有效方法,可以帮助我们更好地提高工作效率和质量。
最后,我们需要对今后的工作进行规划和展望。
通过对过去工作的总结,我们
可以更清晰地认识到自己的不足之处,明确今后需要改进和提高的方向。
同时,我们也可以根据过去的成功经验和有效方法,为今后的工作制定更加科学合理的计划和目标。
总之,IC工作总结是对自己工作的一次深入反思和总结,通过总结工作内容、分析问题原因、总结成功经验和规划展望,可以帮助我们更好地提高工作效率和质量,为今后的工作打下坚实的基础。
【不定期更新】FPGAIC岗位常见笔试面试题总结(基础知识)1 数字IC(ASIC)设计流程:IC设计分为前端和后端。
前端设计主要将HDL语言-->网表,后端设计是网表-->芯片版图。
前端主要有需求分析与架构设计、RTL设计、仿真验证、逻辑综合、STA、形式验证。
后端主要包括DFT、布局规划、布线以及版图物理验证。
2 MCU结构:它是由CPU系统、程序存储器(ROM)、数据存储器(RAM)、各种I/O端口、基本功能单元(定时器/计数器等)组成。
3 低功耗技术:功耗可用公式描述:Power = KFCV^2,即功率等于常数系数*工作频率*负载电容值*电压的平方。
故从以下几个方面降低功耗方式:a.控制工作频率:降低频率增大数据路径宽度,动态频率调整,门控时钟(时钟使能有效时钟才进入寄存器时钟输入引脚)b.减少电容负载:使用几何尺寸更小的逻辑门,其电容负载较小,功率也随之减少。
c.降低工作电压:动态改变工作电压、零操作电压(直接关闭系统中一部分的电源)。
4 MOS管基本概念及画图:MOS中文意思是金属氧化物半导体场效应管,由栅极(G)、漏级(D)、源级(S)组成。
分为PMOS和NMOS两种类型,区别在于G级高电平时,N型管导通,P型管截止。
两者往往是成对出现的,即CMOS。
只要一只导通,另一只则不导通,现代单片机主要是采用CMOS工艺制成的。
画图一般需要根据一个简单的逻辑表达式,画出CMOS电路图结构。
需要掌握常用逻辑门的实现方式。
总体来看还是挺好记的,与非门和或非门都是上下各两个MOS管,且上面是PMOS,下面是NMOS。
不同之处在于与非是“上并下串”,或非是“上串下并”。
5 FPGA内部结构及资源:FPGA主要由可编程单元、可编程I/O单元及布线资源构成。
可编程逻辑单元(可配置逻辑单元,CLB)由两个SLICE构成,SLICE主要包括实现组合逻辑的LUT和实现时序逻辑的触发器。
FPGA 内部还包含专用存储单元BRAM,运算单元DSP Slice,及专用内嵌功能单元,如:PLL、Serdes等。
ic工作总结IC工作总结。
在过去的一段时间里,我一直在从事IC(集成电路)工作。
在这个领域里,我学到了很多东西,也积累了丰富的经验。
现在,我想总结一下我的工作经历,分享一些我所学到的经验和教训。
首先,IC工作需要高度的专业知识和技能。
在我的工作中,我经常需要处理复杂的电路设计和仿真,以及解决各种问题和挑战。
因此,我不断地学习和提升自己的技能,包括掌握各种设计工具和软件,以及了解最新的技术和趋势。
这些知识和技能的积累,让我能够更好地应对工作中的各种挑战,也让我在团队中发挥更大的作用。
其次,IC工作需要团队合作和沟通能力。
在我的工作中,我经常需要和团队成员一起合作,共同完成项目。
在这个过程中,良好的沟通和协作能力是非常重要的。
我学会了如何与不同背景和专业的人合作,如何有效地沟通和协调工作,以及如何解决团队中出现的问题和冲突。
这些能力不仅让我在团队中更加融洽地合作,也让我成为了团队中的一员。
最后,IC工作需要不断的学习和创新。
在这个领域里,技术和市场都在不断地变化和发展,所以我们需要不断地学习和更新知识,以及不断地创新和改进我们的工作。
在我的工作中,我始终保持着对新技术和新方法的关注和学习,也积极地参与到项目中,提出自己的想法和建议。
这种不断学习和创新的态度,让我在工作中不断地进步和成长,也让我能够更好地适应和应对行业的变化和挑战。
总的来说,IC工作是一项充满挑战和机遇的工作。
在这个领域里,我们需要不断地学习和提升自己的技能,需要良好的团队合作和沟通能力,也需要不断的学习和创新。
通过总结我的工作经验,我更加清晰地认识到了这些要点,并且我会继续努力,不断提升自己,为IC工作做出更大的贡献。
IC设计基础(流程、工艺、版图、器件)笔试集锦1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。
(仕兰微面试题目)什么是MCU?MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。
MCU的分类MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。
MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。
RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC系列。
CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。
DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。
EE笔试/面试题目集合分类--IC设计基础1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。
(仕兰微面试题目)2、FPGA和ASIC的概念,他们的区别。
(未知)答案:FPGA是可编程ASIC。
ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。
根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。
与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)2、平板电容公式(C=εS/4πkd)。
(未知)3、最基本的如三极管曲线特性。
(未知)4、描述反馈电路的概念,列举他们的应用。
(仕兰微电子)5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。
(未知)8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。
(凹凸)9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。
(未知)10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。
(未知)11、画差放的两个输入管。
(凹凸)12、画出由运放构成加法、减法、微分、积分运算的电路原理图。
并画出一个晶体管级的运放电路。
(仕兰微电子)13、用运算放大器组成一个10倍的放大器。
2024年招聘IC验证工程师笔试题与参考答案(某大型国企)(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、以下关于数字电路中CMOS电路的特点,描述错误的是:A、功耗低B、抗干扰能力强C、工作速度慢D、易于集成2、在数字电路设计中,以下哪种电路结构可以实现基本逻辑门的功能?A、与门B、或门C、非门D、异或门3、题干:在集成电路验证过程中,以下哪个说法是正确的?A. 验证环境应该尽可能简单,以确保验证的准确性B. 验证环境应该尽可能复杂,以模拟真实应用场景C. 验证环境应介于简单和复杂之间,以确保验证效率和准确性D. 验证环境的复杂程度由验证团队的主观意愿决定4、题干:以下关于Verilog语言中initial块和always块的说法,哪个是正确的?A. initial块和always块都是顺序执行,initial块在仿真开始时执行一次,always块在每个仿真时间步长开始时执行一次B. initial块和always块都是顺序执行,initial块在仿真开始时执行一次,always块在仿真结束时执行一次C. initial块是顺序执行,在仿真开始时执行一次;always块是并行执行,在每个仿真时间步长开始时执行一次D. initial块是并行执行,在仿真开始时执行一次;always块是顺序执行,在每个仿真时间步长开始时执行一次5、在IC验证流程中,以下哪个阶段不属于功能验证阶段?A. 初始环境搭建B. 测试用例开发C. 验证环境搭建D. 仿真和调试6、以下哪种工具在IC验证中主要用于仿真和调试?A. UVMB. VCSC. VerilatorD. GDB7、在IC验证过程中,以下哪个术语用于描述验证环境中的测试案例?A. TestbenchB. Testbench CodeC. Testbench ModuleD. Testbench Stimulus8、以下哪种验证方法不依赖于模拟硬件或软件,而是使用实际硬件进行验证?A. Simulation-based VerificationB. FPGA-based VerificationC. Formal VerificationD. Emulation-based Verification9、题目:在数字电路中,以下哪种触发器在时钟信号的上升沿触发?A. 主从触发器B. 同步触发器C. 异步触发器D. 边沿触发器 10、题目:在以下关于Verilog HDL的描述中,哪项是错误的?A. Verilog HDL支持硬件描述语言和测试语言B. Verilog HDL中,always块可以用来描述时序逻辑和组合逻辑C. Verilog HDL中,initial块通常用来初始化时序逻辑D. Verilog HDL中,task和function都可以被调用以执行特定功能二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些技术或工具是IC(集成电路)验证工程师在日常工作中所必须熟悉的?()A、Verilog/VHDLB、SystemVerilogC、UVM(Universal Verification Methodology)D、TLM(Transaction-Level Modeling)E、SPICE(Simulation Program with Integrated Circuit Emphasis)F、GDB(GNU Debugger)2、在IC验证过程中,以下哪些是验证工程师需要关注的验证阶段?()A、功能验证B、时序验证C、功耗验证D、安全验证E、兼容性验证F、性能验证3、以下哪些工具或技术是IC验证工程师在芯片设计验证过程中常用的?()A. SystemVerilogB. Verilog-AC. UVM(Universal Verification Methodology)D. waveform viewerE. DFT(Design-for-Test)4、在IC验证过程中,以下哪些步骤是验证工程师需要完成的?()A. 验证需求分析B. 验证环境搭建C. 验证计划制定D. 验证用例编写E. 验证结果分析5、以下哪些是IC验证工程师在验证过程中常用的验证方法?()A. 仿真验证B. 系统级验证C. 单元级验证D. 代码覆盖率分析E. 动态功耗分析6、以下哪些是UVM(Universal Verification Methodology)验证环境中常见的组件?()A. SequenceB. ScoreboardC. AgentD. DriverE. Monitor7、以下哪些是IC(集成电路)验证工程师在验证过程中需要关注的时序问题?()A. setup timeB. hold timeC. clock domain crossingD. metastabilityE. power integrity8、在IC验证过程中,以下哪些工具或技术被广泛用于提高验证效率?()A. UVM(Universal Verification Methodology)B. assertion-based verificationC. formal verificationD. coverage-driven verificationE. simulation acceleration9、以下哪些技术是IC验证工程师在工作中常用的验证方法?()A. 仿真验证B. 硬件加速验证C. 实验室测试D. 动态功耗分析 10、以下关于验证计划的描述,正确的是哪些?()A. 验证计划应包含验证目标、验证策略、验证环境等B. 验证计划应详细列出所有的验证用例和测试项C. 验证计划应根据项目进度动态调整D. 验证计划应确保验证过程的可追溯性三、判断题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证过程中,只需关注设计规格书,无需考虑其他相关文档。
1.同步逻辑和异步逻辑的区别同步逻辑是时钟之间有固定的因果关系。
异步逻辑是各时钟之间没有固定的因果关系。
同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。
改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。
异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。
2.建立时间和保持时间并画时序图建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。
保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。
3.竞争和冒险的原因?怎么区分?有哪些方法可以消除?IC设计前端到后端的流程和EDA工具?设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。
1:规格制定:客户向芯片设计公司提出设计要求。
2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。
目前架构的验证一般基于systemC语言,对价后模型的仿真可以使用systemC的仿真工具。
例如:CoCentric和Visual Elite等。
3:HDL编码:设计输入工具:ultra ,visual VHDL等4:仿真验证:modelsim5:逻辑综合:synplify6:静态时序分析:synopsys的Prime Time7:形式验证:Synopsys的Formality.4.同步复位和异步复位有什么不同?同步复位在时钟沿变化时,完成复位动作。
异步复位不管时钟,只要复位信号满足条件,就完成复位动作。
异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。
招聘IC验证工程师笔试题及解答(某大型国企)一、单项选择题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证流程中,以下哪个阶段通常负责确保设计规格的正确性和完整性?A、功能验证B、形式验证C、静态时序分析D、后端验证答案:A 解析:在IC验证流程中,功能验证阶段的主要任务是确保设计规格的正确性和完整性,通过模拟和测试验证设计的功能是否符合预期。
形式验证主要关注逻辑结构的正确性,静态时序分析关注时序约束的满足,后端验证关注物理层面的实现。
2、以下哪个工具通常用于检查设计中的逻辑错误和冗余,而不需要运行仿真?A、仿真软件B、形式验证工具C、静态分析工具D、功耗分析工具答案:C 解析:静态分析工具可以在不运行仿真的情况下检查设计中的逻辑错误和冗余。
这些工具分析设计文件,查找潜在的错误和不一致性,而不需要实际运行设计来验证其功能。
仿真软件需要运行仿真来测试设计,形式验证工具用于确保逻辑结构的正确性,功耗分析工具用于评估设计的功耗。
3、在数字电路中,以下哪种触发器可以实现边沿触发的功能?A. 触发器DB. 触发器JKC. 触发器TD. 触发器RS答案:B 解析:JK触发器是一种可以边沿触发也可以电平触发的触发器。
当J 和K输入端同时为1或0时,JK触发器可以实现边沿触发的功能。
而在其他触发器中,如D触发器、T触发器和RS触发器,通常只有电平触发功能,无法实现边沿触发。
4、以下哪个描述是正确的关于Verilog语言中initial和always语句的区别?A. initial语句用于初始化电路,而always语句用于描述电路的行为。
B. initial语句用于描述电路的行为,而always语句用于初始化电路。
C. initial和always语句都用于初始化电路。
D. initial和always语句都用于描述电路的行为。
答案:A 解析:在Verilog语言中,initial语句用于初始化电路,即在仿真开始时执行一次,通常用于赋初值。
IC笔试总结(有点乱)1、给你一堆名词,举例他们的作用。
有PCI、ECC(?)、DDR、interrupt、pipeline中断的类型,作用。
ATPG:Automatic T est Pattern Generator自动测试相量生成CMOS:Complement Metel Oxide Semi-conductECO:Engineering Change Order 工程修改订单。
PCI:PCI是Peripheral Component Interconnect(外设部件互连标准)的缩写PCI是由Intel公司1991年推出的一种局部总线。
最早提出的PCI 总线工作在33MHz 频率之下,传输带宽达到了133MB/s(33MHz X 32bit/8),它为显卡,声卡,网卡,MODEM等设备提供了连接接口。
ECC:erro checking and correcting 数据校验纠错,应用在内存上 ECC内存DDR:内存 double date rateInterrupt:中断分为硬件中断和软件中断。
硬件中断分为可屏蔽中断和不可屏蔽中断。
Pipeline:流水线采用流水线技术的CPU使用指令重叠的办法,即在一条指令还没有处理完时,就开始处理下一条指令。
典型的流水线将每一条机器指令分成5步,即取指、译码、取操作数(或译码2)、执行、回写。
在理想条件下,平均每个时钟周期可以完成一条指令而所谓“超级流水线处理”是将机器指令划分为更多级的操作,以减轻每一级的复杂程度。
在流水线的每一步中,如果需要执行的逻辑操作少一些,则每一步就可以在较短的时间内完成。
TLB:Translate Look side Buffers,转换旁视缓冲器apic: Advanced Programmable Interrupt Controller高级程序中断控制器.DP :Dual Processing双处理器2、用状态机实现10010码的探测,如x=1001001000 z=0000100100(输出)module check(rst_i,clk_i,data_i,data_o);input rst_i,clk_i;input data_i;output data_o;reg[3:0] current_state,next_state;parameter[3:0]idle="0000",state1="0001",state2="0010",state3="0100",state4="1000";always@(posedge clk_i or negedge rst_i) if (!rst_i)current_state<=idle;elsecurrent_state<=next_state;always@(current_state,data_i)case(current_state)idle : if(data_i==1)next_state=state1;else next_state=idle;state1: if (data_i==0)next_state=state2;else next_state=idle;state2: if (data_i==0)next_state=state3;else next_state=idle;state3: if(data_i==1)next_state=state4;else next_state=idle;state4: if(data_i==0)next_state=idle;else next_state=idle;endcaseassign data_o= (current_state==state4);endmodulelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity check isport(rst_i :in std_logic;clk_i :in std_logic;data_i:in std_logic;data_o:out std_logic);end entity;--}} End of automatically maintained section architecture behave of check istype state is (state_a,state_b,state_c,state_d,state_e);signal current_state:state;signal next_state:state;begin--type state is (state_a,state_b,state_c,state_d);process(rst_i,clk_i)beginif rst_i='1' thendata_o<='0';current_state<=state_a;elsif rising_edge(clk_i) thencurrent_state<=next_state;end if;end process;process(current_state,data_i)begincase current_state iswhen state_a => if data_i='1' thennext_state<=state_b;else next_state<=state_a;end if;when state_b => ifdata_i='0' thennext_state<=state_c;elsenext_state<=state_a;end if;when state_c => ifdata_i='0' thennext_state<=state_d;elsenext_state<=state_a;end if;when state_d => ifdata_i='1' thennext_state<=state_e;elsenext_state<=state_a;end if;when state_e => ifdata_i='0' thennext_state<=state_a;elsenext_state<=state_a;end if;when others => null;end case;end;data_o <='1' when current_state = state_e else '0';end;3、169.6825 好像是这个东西,写出十六进制表示A9.AEB85 0.6825×16取整、再用小数部分取整4、cache结构、一致性及替代算法。
替代算法:为了保证CPU访问时有较高的命中率,缓存中的内容应该按一定的算法替换。
一种较常用的算法是“最近最少使用算法”(LRU算法),它是将最近一段时间内最少被访问过的行淘汰出局。
因此需要为每行设置一个计数器,LRU算法是把命中行的计数器清零,其他各行计数器加1。
当需要替换时淘汰行计数器计数值最大的数据行出局。
这是一种高效、科学的算法,其计数器清零过程可以把一些频繁调用后再不需要的数据淘汰出缓存,提高缓存的利用率。
结构:数据存储器和标志存储器,还有使用标志位。
映射:直接映射,N路相关映射,完全相关映射。
5.100个棋子,两个人按顺序取,每人每次至少取一个,至多取10个.....取得最后一个者为胜者...问你会选择先取还是后取?为什么?先取取一个剩99个,对方不管去多少,下一次将它取剩88个6 什么是Setup 和Holdup时间?建立时间(Setup Time)和保持时间(Hold time)。
建立时间是指在时钟边沿前,数据信号需要保持不变的时间。
保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。
见图1。
如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。
如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。
7 什么是竞争与冒险现象?怎样判断?如何消除?在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。
产生毛刺叫冒险。
如果布尔式中有相反的信号则可能产生竞争和冒险现象。
解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。
8、用D触发器实现2倍分频的逻辑电路?Verilog描述:module divide2( clk , clk_o, reset);input clk , reset;output clk_o;wire in;reg out ;always @ ( posedge clk or posedge reset) if ( reset)out <= 0;elseout <= in;assign in = ~out; assign clk_o = out; endmodule9、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?线与逻辑是两个输出信号相连可以实现与的功能。
在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门同时在输出端口应加一个上拉电阻。
10 什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。
异步逻辑是各时钟之间没有固定的因果关系。
11 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。
12 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?12,5,3.3TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。
CMOS输出接到TTL 是可以直接互连。
TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。
13 可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?PAL,PLD,CPLD,FPGA。
14 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。
module dff8(clk , reset, d, q); input clk;input reset;input [7:0] d;output [7:0] q;reg [7:0] q; always @ (posedge clk or posedge reset) if(reset)q <= 0;elseq <= d;endmodule10 设想你将设计完成一个电子电路方案。