十字路口带倒计时显示地交通红绿灯控制系统设计
- 格式:docx
- 大小:732.00 KB
- 文档页数:32
摘要PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。
它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。
此设计将PLC应用于交通灯系统中。
可缩短车辆通行等候时间,实现科学化管理。
在该设计中,十字路口红绿灯闪亮及车辆通行,十分形象地显示出了PLC在交通灯系统中的实际应用。
【关键词】:交通灯PLC 自动控制1.1 研究目的和意义在十字路口设置交通灯可以对交通进行有效的疏通,并为交通参与者的安全提供了强有力的保障。
但是随着社会、经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。
如何改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
目前,大部分城市中十字路口交通灯的控制普遍采用固定转换时间间隔的控制方法。
由于十字路口不同时刻车辆的流量是复杂的、随机的和不确定的,采用固定时间的控制方法,经常造成道路有效利用时间的浪费,出现空等现象,影响了道路的畅通。
为此,采用不依赖数学模型的模糊控制方法设计交通灯控制器,能较好地解决这个问题。
另外随着众多高科技技术在日常生活的普遍应用,城市空中各种电磁干扰日益严重,为保证交通控制的可靠、稳定,选择了能够在恶劣的电磁干扰环境下正常工作的PLC是必要的。
随着科学技术的日新月异,自动化程度要求越来越高,原有的交通灯装置远远不能满足当前高度自动化的需要。
可编程控制器交通灯控制系统集成自动控制技术、计量技术、新传感器技术、计算机管理技术于一体的机电一体化产品;充分利用计算机技术对生产过程进行集中监视、控制管理和分散控制;充分吸收了分散式控制系统和集中控制系统的优点,采用标准化、模块化、系统化设计,配置灵活、组态方便。
可编程控制器交通灯控制系统的特点:①脱机手动工作;②联机自动就地工作;③上机控制的单周期运行方式;④由上位机通过串口向下位机送入设定配方参数实现自动控制;⑤自动启动、自动停机控制方式。
届毕业设计(论文)材料院、部:电气与信息工程学院学生姓名:指导教师:陈华容职称高级实验师专业:自动化班级:学号:201年月材料清单1、任务书;2、开题报告;3、工作进度检查表;4、指导教师审阅表;5、评阅教师评阅表;6、答辩资格审查表;7、毕业设计答辩及最终成绩评定表;8、设计说明书主体部分(含论文封面、摘要和关键词、目录、正文、结束语、致谢、参考文献、附录等)湖南工学院2015 届毕业设计(论文)课题任务书院:电气与信息工程学院专业:自动化指导教师陈华容学生姓名课题名称十字路口带倒计时显示的交通信号灯电气控制系统设计内容及任务基于PLC设计一条主干道和一条支干道的汇合点形成十字交叉路口交通灯控制系统,该系统有左转、直行、右转三个方面红,绿,黄3色信号灯指示,并用二位数码管倒计时显示其相应灯亮的时间。
同时还要设计人行横道指示灯的运行。
主干道为东西方向,支干道为南北方向。
主干道每次放行90秒,支干道每次放行40秒。
设计任务1、基于PLC设计该交通灯电气控制系统硬件电路,包括电路电器元器件的选择、PLC选型;用计算机绘制电路图。
2、根据控制要求设计该交通灯系统PLC控制程序(梯形图),调试程序,并要有程序运行仿真测试记录。
3、编写设计说明书。
拟达到的要求或技术指标控制要求:1、主干道放行时:主干道右转始终保持放行,即主干道右转绿灯始终亮;主干道直行放行42秒,直行黄灯亮3秒后,再主干道左转放行42秒,主干道左转黄灯亮3秒后,放行支干道。
每次放行绿灯亮最后3秒每秒闪烁1次再黄灯亮3秒作为过渡。
主干道直行黄灯亮3秒后,主干道放行时,支干道的直行和左转的红灯始终保持亮支干道右转绿灯始终亮。
支干道放行时:支干道右转绿灯绐终保持亮,即此时支干道右转保持放行;支干道先直行放行17秒,支干道直行黄灯亮3秒后,支干道左转再放行放行17秒;同样每次放行绿灯亮最后3秒每秒闪烁1次再黄灯亮3秒作为过渡。
支干道放行时,主干道的直行和左转的红灯始终保持亮,主干道右转绿灯始终亮。
通信工程系(教研室)指导教师目录1.前言 (1)2.系统设计任务跟要求 (2)3.交通灯状态分析 (2)4.系统设计思路 (4)5.开发板模块功能运用 (5)6.设计源程序 (7)7.设计心得 (13)8.参考文献 (13)1.前言自从1858年英国人,发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥挤,交通灯更加显示出了它的功能,使得交通得到有效管制,对于交通疏导,提高道路导通能力,减少交通事故有显著的效果近年来随着单片机芯片的发展,单片机在各个领域的应用越来越多,单片机往往作为一个核心部件来使用,在实时检测和自动控制的单片机应用系统中,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。
十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。
那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。
交通信号灯控制方式很多。
本系统采用STC89C52单片机以及单片机最小系统和三极管驱动电路以及外围的按键和数码管显示等部件,设计一个基于单片机的交通灯设计。
设计通过两位一体共阴极数码管显示,并能通过按键对定时进行设置。
本系统实用性强、操作简单、扩展功能强。
设计通过STC89C52单片机以及单片机最小系统和74HC245驱动数码管(数码管更亮,白天看的很清楚)以及外围的按键和数码管显示等部件,数码管倒计时显示时间。
2.系统设计任务跟要求设计任务:利用单片机设计一个十字路口交通灯控制,具体技术要求如下:(1)利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。
要求能用按键设置两个方向的通行时间,即绿、红灯点亮的时间和暂缓通行时间,即黄灯点亮的时间。
系统的工作应符合一般交通灯控制的要求。
实验十字路口交通灯控制器设计一、实验目的1.学习较复杂数字电路系统的设计;2.熟悉QuartusⅡ软件的使用方法;3.学习VHDL 基本逻辑电路和状态机电路的综合设计应用。
二、实验基本原理本实验中主要应用了状态机以及减法器的设计原理。
在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。
同时,状态机的设计方法也是数字系统中一种最常用的设计方法。
一般来说,标准状态机可以分为穆尔(Moore)机和米利(Mealy)机两种。
在穆尔机中,其输出仅仅是当前状态值的函数,并且仅在时钟上升沿到来时才发生变化。
米利机的输出则是当前状态值、当前输出值和当前输入值的函数。
三、实验内容设计并调试好一个由一条东西方向和一条南北方向的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:1.有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;2.交通灯由绿转红有4秒黄灯亮的间隔时间,由红转绿没有间隔时间;3.系统有MRCY、MRCG、MYCR、MGCR四个状态;4.南北方向右侧各埋有一个传感器,当有车辆通过南北方向时,发出请求信号S=1,其余时间S=0;5.平时系统停留在MGCR(东西方向通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(南北方向通行)状态,但要保证MGCR的状态不得短于一分钟;6.一旦S信号无效,系统脱离MRCG状态。
随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。
四、主要仪器设备及耗材PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)导线若干。
五、实验步骤1.用文本输入法设计交通信号控制器(1)由实验要求写出设计思路如下:a)主、乡道各设有一个绿、黄、红指示灯,两个显示数码管。
b)东西方向处于常允许通行状态,而乡道有车来才允许通行。
摘要当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。
随着城市和经济的发展,交通信号灯发挥的作用越来越大,正因为有了交通信号灯,才使车流、人流有了规范,同时,减少了交通事故发生的概率。
然而,交通信号灯不合理使用或设置,也会影响交通的顺畅。
因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。
随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。
其中尤其PLC技术发展飞快,应用越来越广,在工业自动化中的地位极为重要,广泛的应用于各个行业。
随着科技的发展,可编程控制器的功能日益完善,加上小型化、价格低、可靠性高,在现代工业中的作用更加突出。
本论文就是运用PLC原理来实现对十字路口的交通灯的控制,介绍了基于可编程序控制器在交通系统的运用,系统介绍了可编程序控制器的基本原理,以及运用三菱PLC来控制红绿灯的变化,数码管倒计时的具体工作过程,目的是通过十字路口带倒计时显示的交通灯控制装置的设计实践, 了解一般电气控制系统的设计过程,设计要求,应完成的工作内容和具体设计方法。
关键词:交通灯控制计算机技术智能PLC 十字路口交通灯电气工程学院课程设计说明书设计题目:十字路口带倒计时显示交通信号灯控制系统系别:电气工程及其自动化年级专业:10级应用电子技术学号:100103030180学生姓名:张永佳指导教师:目录一、系统控制要求 (1)二、系统设计 (1)1、控制系统的设计思想 (1)2、时序图 (1)3、控制系统工作流程图 (2)4、梯形图 (4)5、接线表 (8)6、程序运行过程 (10)7、系统硬件与所选电器的主要参数 (10)三、心得体会 (11)参考文献 (11)一、系统控制要求系统上电后,交通指挥信号控制系统由由一个3位转换开关SA1控制。
SA1手柄指向左45º时,接点SA1-1接通,交通指挥系统开始按常规正常控制功能工作,按正常运行时,南北向及东西向均有两位数码管倒计时显示牌同时显示相应的指示灯剩余时间值;SA1手柄指向中间0º时,接点SA1-2接通,交通指挥系统南北向绿灯常亮,东西向红灯常亮,数码管显示99不变;SA1手柄指向右45º时,接点SA1-3接通,交通指挥系统东西向绿灯常亮,南北向红灯常亮,数码管显示99不变。
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
十字路口交通灯控制的电路设计分析龙志一、设计要求:1、模拟实现十字路口红绿黄交通灯和倒计时数码管显示。
2、实现同按键设定倒计时数码管的时间 二、电路实现目的:通过对“十字路口交通灯控制”的实训设计,掌握用单片机开发实际产品装置的初步设计方法。
提高学生用单片机开发产品的硬件设计,软件编程和动手能力,从而进一步加深对“单片机原理与应用”课程的理解。
三、实训设计过程: 1、“十字路口交通灯控制”的工作原理通过P1送红绿灯发光信息,P0口送LDE 数码管显示数值,P2口高4位控制LED 数码管位选,P2口低3位接收按鍵值。
先通过P0口送初始值到数码管显示,在按键未按下之前显示预先设定的值。
当按下启动按键(即P2.2)时,倒计时开始,实现十字路口红绿灯的转换。
实现如下:当A 组的红灯亮时,B 组的绿灯亮;倒计时到0时B 组转为黄灯,A 组的黄灯闪,两组黄灯同时倒计时5秒; 倒计时为0时A 组转为绿灯,B 组转为红灯,同时倒计时再次开始以。
总之,在红灯转为绿灯时不需要经过黄灯所以设为闪的,而绿灯转红灯时要经过黄灯就设为一直亮的;红灯和绿灯都是倒计时初始值的数,而黄灯则倒计时5秒。
2.按键的选择方式:按键从左至右分别是UP ,SEL ,EXIT(转入正常模式),其中左边两组合键为SET(即是进入设置模式),上电复位时,显示默认倒计时初值,按EXIT 键可直接进入正常模式按SET 键进入设置模式,SEL 键选择十位或个位,被选中的位小数点亮,(上图所示是选中十位)UP 键设定每位的值,每按一次增加一,从0~9变化 按EXIT 键进入正常模式当启动按键(即EXIT )启动后,按其它两个键是不起作用的了。
只有在复位后,按那两个选择键A 组UP SEL EXITSET才会起作用。
3、画出硬件连接电路图。
五、结束语:通过这次实训,对单片机的51系统有了更深的了解:①MCS-51系列单片机的扩展,I/O接口的扩展知识与应用(通过P0,P1,P2扩展I/O)。
CHANGZHOU INSTITUTE OF TECHNOLOGYPLC电气控制系统设计题目:十字路口带倒计时显示的交通信号灯控制二级学院(直属学部):电光学院专业:电气工程及自动化班级:学生姓名:学号:指导教师姓名:过军职称:副教授2016 年6月8日目录引言 (1)第一章交通信号灯控制控制方案 (2)1.1设计目的 (2)1.2控制要求 (2)1.3系统方案设计 (2)第二章十字路口交通信号灯设计 (5)2.1PLC的选择 (5)2.2 PLC的I/O端口分配表 (5)2.3 参数设计 (5)2.4电气设备明细表 (6)2.5 PLC硬件接线图 (7)第三章系统程序设计 (9)3.1 控制程序流程图 (9)3.2 控制程序时序图 (10)3.3 步进梯形图 (10)第四章系统调试及结果分析 (15)4.1软件调试 (15)4.2调试结果分析 (15)课程设计总结 (18)参考文献 (18)附录 (19)引言当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。
因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。
随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。
自从交通灯诞生以来,其内部的电路控制系统就不断的被改进。
设计方法也开始多种多样,从而使交通灯显得更加智能化。
交通信号灯主要是以PLC来实现控制导航的,PLC以微处理器为核心,普遍采用依据继电接触器控制系统电气原理图编制的梯形图语言进行程序设计,编程容易,功能扩展方便,修改灵活,而且结构简单,抗干扰能力强。
本文采用三菱FX2N的可编程控制器,通过对交通信号灯控制时序要求的分析以及对PLC硬件电路及梯形图的设计,完成十字路口带倒计时显示交通信号等控制系统。
对于顺序控制,因为步进指令具有条理清楚、编程方便、直观、易于实现等特点,本文以三菱PLC指令系统的步进指令控制交通信号灯,并列出了步进梯形指令的状态转移图、梯形图以及指令表。
课程设计名称:十字路口自动红绿灯指挥系统专业班级:电子科学与技术13-2班组长:组员:指导教师:开课时间:2014~2014 学年三学期十字路口自动红绿灯指挥系统班级:电子科学与技术13-2班指导老师: 学生:学号:一.设计题目十字路口自动红绿灯指挥系统二.主要指标及要求1.自动完成绿-黄-红-绿-……工作循环;2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环;3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机;4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。
选题的意义:交通信号灯是我们日常生活中常见的,也是交通系统只不可或缺的一部分,选择这个课题有助于我们对交通指挥系统的了解,更有助于我们将理论运用于实际中,对于我们以后进行其余的课题研究有很好的积极作用。
三、方案选择四、工作原理分析绿红黄灯工作分三个阶段,即控制器有三个状态T0、T1、T2。
在状态T0停留20秒,此间绿灯亮,然后转至状态T1。
在状态T1停留5秒,此间黄灯亮。
5秒后转至T2,在状态T2下,红灯亮15秒,15秒后返回状态T0。
红绿灯控制系统由控制器、定时器、时钟信号脉冲发生器、译码器以及延时电路组成。
控制器有3个输入信号:TS=19,TM=4,TL=14;3个状态信号:T0、T1和T2,编码分别为00、01、10,由Q1Q0表示。
一个输出信号W:表示状态转换。
三个状态信号分别控制绿红黄三种颜色的灯。
W是计数器的回零信号。
五、单元模块设计及分析5.1控制器设计列出状态转换表如表1所示,由状态转换换表可推出状态方程和状态转换信号如下:Q1n+1=Q1n Q0n T M+Q1 n Q0n T LQ0n+1=Q1n Q0n Ts+Q1n Q0n T MW=Q1n Q0n T S+Q1n Q0n TM+Q1n Q0n T L利用中规模集成电路实现控制器。
控制器有三个状态,选择两个D触发器F1和F0组成控制时序电路的时序逻辑部分,其驱动方程就是控制器的状态方程,选择2个四选一数据选择器(74LS153)M2、M1组成控制器时序电路的组合逻辑部分。
一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。
二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。
2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。
3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。
4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。
三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。
3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。
四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。
精选资料电气控制与PLC课程设计指导书课程设计的目的、要求、任务及方法要完成好电气控制系统的设计任务,除掌握必要的电气设计基础知识外,还必须经过反复实践,深入生产现场,将不断积累的经验应用到设计中来。
课程设计正是为这一目的而安排的实践性教学环节,它是一项初步的工程训练。
通过课程设计,了解一般电气控制系统的设计要求、设计内容和设计方法。
电气设计包含原理设计和工艺设计两个方面,不能忽视任何一面,对于应用型人才更应重视工艺设计。
课程设计属于练习性质,不强调设计结果直接用于生产。
一、设计目的课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。
通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。
电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。
课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。
二、设计要求在课程设计中,学生是主体,应充分发挥他们的主动性和创造性。
教师的主导作用是引导其掌握完成设计内容的方法。
为保证顺利完成设计任务还应做到以下几点:1) 在接受设计任务后,应根据设计要求和应完成的设计内容进度计划,确定各阶段应完成的工作量,妥善安排时间。
2) 在方案确定过程中应主动提出问题,以取得指导数师的帮助,同时要广泛讨论,依据充分。
在具体设计过程中要多思考,尤其是主要参数,要经过计算论证。
3) 所有电气图样的绘制必须符合国家有关规定的标准,包括线条、图型符号、项目代号、回路标号、技术要求、标题栏、元器件明细表以及图样的折叠和装订。
《PLC 控制技术》课程设计任务书课题八 带有显示的十字路口交通信号灯控制程序的设计与调试一、 课程设计的目的本课程综合训练的目的在于培养学生运用已学的可编程序控制器的基本理论和基础知识,进行PLC 控制系统设计的初等训练,掌握运用PC 机进行系统控制设计的原则、设计内容和设计步骤,为今后的工作打下良好的基础。
二、 控制要求:1. 系统框图:2. 控制要求:(1)南北方向为主干道,绿灯亮的时间比东西方向次干道绿灯亮的时间多一倍,黄灯间隔0.5s 闪烁3 s 后切换到红灯,信号灯工作时序图如下,一次循环共需96s 。
(2) 时序图:(3) 主干道的数码显示应该与红、黄及绿灯同步,且两条主、次干道应该一样显示。
比如:南北方向绿灯亮时,东西方向和南北方向均应显示数字63(绿灯亮60s ,黄灯亮3s ),然后隔秒减1,当减到0时,换成东西方向绿灯亮,南北方向红灯亮,此时,数码管应显示33,然后隔秒减1,当减到0时,再进行切换,完成一次工作循环。
东西方向EW南北方向NSEW 显示NS 显示N N N(4)*有白天/夜间操作转换开关、运行/停止开关、紧急操作开关1#、2#,其功能如下:①白天/夜间操作转换开关在“白天”位置时,按上述时序正常工作,在“夜间”位置时,两边均只有黄灯闪烁②运行开关在接通电源时,方可切换白天/夜间开关③开关在“运行”位置时,系统启动,在“停止”位置时,系统关闭④当有特殊情况(如事故)需某一方向的绿灯一直亮,则应用紧急操作开关实现次功能。
比如:1#开关=“1”,则南北方向绿灯一直亮,东西方向红灯一直亮,2#开关=“1”,则东西方向绿灯一直亮,南北方向红灯一直亮,关闭紧急开关,则系统恢复正常。
三、PLC控制系统设计原则(1)尽可能地满足被控对象的控制要求;(2)在满足控制的前提下,力求使控制系统简单、经济;(3)保证控制系统安全可靠;(4)考虑到被控对象的改进,在选择PLC的I/O数量时,应适当留有余量;四、PLC控制系统设计步骤1、分析控制系统的要求,确定控制任务:首先分析十字路口交通信号灯控制的控制功能,确定控制任务。
课程设计课程名称电子技术综合设计与实践(1)题目名称交通灯控制器一、课程设计的内容设计一个十字路口的红、绿、黄三色信号交通灯控制电路。
二、课程设计的要求与数据1. 用红、绿、黄三色发光二极管作信号灯。
主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。
红灯亮禁止通行;绿灯亮允许通行;黄灯亮则要求压线车辆快速穿过道口。
2. 由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。
当主干道允许通行亮绿灯时,支干道亮红灯。
而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。
主干道每次放行50秒,支干道每次放行30秒。
在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。
3. 采用倒计时方法,各用两个七段数码管分别显示东西、南北方向的红灯、绿灯、黄灯时间。
4. 能实现总体清零功能。
按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。
5.能实现特殊状态的功能显示。
设S为特殊状态的传感器信号,当S=1时,进入特殊状态;当S=0时,退出特殊状态。
按下S后,能实现如下特殊状态功能:(1).显示器闪烁。
(2).计数器停止计数并保持原来的数据。
(3).东西、南北路口均显示红灯状态。
(4).特殊状态结束后,能继续对时间进行计数。
6).利用QUARTUSⅡ软件,设计符合以上功能要求的交通灯控制器。
用图形输入方法。
控制器、计数器的功能用功能仿真的方法验证,可通过观察有关波形确认电路设计是否正确。
通过编译,仿真和综合,并下载到相应芯片中实现,最后在学习机上验证设计课题的正确性。
在学习机上验证结果。
三、课程设计应完成的工作1、设计电路2、模拟仿真3、下载4、项目结果验证5、项目报告四、课程设计进程安排五、应收集的资料及主要参考文献1、尹明,电子技术综合设计与实践,. 西安电子科技大学出版社,西安,20112、谢云等,现代电子技术实践课程指导,机械工业出版社,北京,20063、张志刚,FPGA与SOPC设计教程—DE2实践,西安电子科技大学出版社,西安,20074、阎石等,数字电子技术基础,高等教育出版社,北京,2006发出任务书日期:年月日指导教师签名:计划完成日期:年月日基层教学单位责任人签章:6主管院长签章:摘要本次的任务是利用QURATUS软件设计一个十字路口的红、绿、黄三色信号交通灯控电路,通过时序功能仿真后,下载到DE-II实验板上验证结果。
一个十字路口的交通灯控制系统一、设计内容和要求:利用AT89C51单片机设计一十字路口交通灯控制系统。
1:系统主要由单片机、数码管、交通灯组成。
2:具有人行道和基本的交通灯的功能。
3:具有数码管倒计时功能。
4:要求东西和南北车道的车辆交替运行,每次通行为25秒。
5:要求黄灯先亮3秒,才能变换车道,黄灯亮时要求闪亮。
6:有紧急情况的处理办法(如急救车来时)。
二、总体方案设计本设计研究的是基于AT89C51单片机的交通灯智能控制系统。
根据交通控制系统的设计原理,阐述了硬件和软件方面开发的整个过程。
主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行。
并设计了有紧急情况(如急救车到来)时的处理办法。
三、系统的硬件设计(1)本系统选用通过P0到P3口用做输出显示控制口。
P0口用作输出南北方向LED数码管字符编码,P2口用作输出东西方向LED数码管字符编码。
P1.1—P1.4口用于位选及输出南北方向发光二极管。
P1.5和P1.6用作南北方向人行道的控制灯。
P1.7和P3.7用作东西方向人行道的控制灯。
P3.0—P3.6口用于位选及输出东西方向发光二极管。
P3.2和P3.3用作外部中断。
(2)LED数码管采用动态显示方式实现倒计时读秒,并且本系统采用的是LED的共阳极接法。
(3)LED动态显示,在多位LED显示时,为了简化电路,降低成本,将段选位并联在一起,由一个八位的I/O口控制,而位选由另一个口控制,段选码、位选码每次送入后演示1ms,而人的视觉暂留时间为0.1s,所以在人看来数码管一直亮着,从而在应用中通过动态扫描的方法显示。
四、设计原理分析(1)从十字路口交通灯示意图分析可知:东西、南北方向信号灯控制是中心对称的,即无论是主干道还是支干道两侧系统对同方向的信号灯控制是同步的。
(2)从示意图分析可知,人行道各个方向,系统对两侧的信号灯的控制也是同步的。
(3)人行道和信号灯的显示不是一致的,人应该和车一起走,但应该比车先停下来。
设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。
要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。
然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。
依次重复。
有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
问题补充:我是要做课程设计,只要有程序就行最佳答案---------------------------交通灯控制器设计?-------led显示规律:东西方向绿灯,而南北方向红灯---------------------------——东西方向绿灯灭,黄灯亮,南北方向仍然红灯---------------------------——//南北方向绿灯,而东西方向红灯。
// library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiaotongLED isgeneric(-----------------------------------定义灯亮的时间east_green_cnt:integer:=40;------------东西方向主干道绿灯east_yellow_cnt:integer:=5;------------东西方向主干道黄灯south_green_cnt:integer:=40;-----------南北方向支干道绿灯south_yellow_cnt:integer:=5;-----------南北方向支干道黄灯exi_cnt:integer:=120);-----------------紧急车辆通行时间port(clk:in std_logic;rst:in std_logic;----------------------复位信号exi_sign:in std_logic;-----------------紧急车辆信号east_green_led:out std_logic;east_yellow_led:out std_logic;east_red_led:out std_logic;south_green_led:out std_logic;south_yellow_led:out std_logic;south_red_led:out std_logic);end jiaotongLED;------------------------------------architecture ex of jiaotongLED istype states is(s0,s1,s2,s3,s4);signal state1:states:=s0;signal state:states:=s0;signal cnt:integer range 0 to 150;signal save_cnt:integer;signal enable_cnt:std_logic:='0';begin-----------------------------------------u1:process(rst,clk)-----------------------------信号灯的时间状态的转换beginif rst='1' then-----------------------------判断是否按下复位state<=s0;cnt<=1;elsif clk'event and clk='1' thenif enable_cnt='1' thencnt<=cnt+1;elsecnt<=1;end if;case state iswhen s0=>if exi_sign='1' then----------------判断是否紧急车辆save_cnt<=cnt;state1<=s0;state<=s4;elsif(cnt=east_green_cnt)thenstate<=s1;elsestate<=s0;end if;when s1=>if exi_sign='1' thensave_cnt<=cnt;state1<=s1;state<=s4;elsif(cnt=east_yellow_cnt)thenstate<=s2;elsestate<=s1;end if;when s2=>if exi_sign='1' thensave_cnt<=cnt;state1<=s2;state<=s4;elsif(cnt=south_green_cnt)thenstate<=s3;elsestate<=s2;end if;when s3=>if exi_sign='1' thensave_cnt<=cnt;state1<=s3;state<=s4;elsif(cnt=south_yellow_cnt)thenstate<=s0;elsestate<=s3;end if;when s4=>if(cnt=exi_cnt)thencnt<=save_cnt;state<=state1;end if;end case;end if;end process u1;---------------------------------------------------u2:process(state)--------------------------------------信号灯的状态显示begincase state iswhen s0=>east_green_led<='1';east_yellow_led<='0';east_red_led<='0';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=east_green_cnt)thenenable_cnt<='0';-----------------------已达到东西方向绿灯亮时间,暂停计数end if;when s1=>east_green_led<='0';east_yellow_led<='1';east_red_led<='0';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=east_yellow_cnt)then enable_cnt<='0';end if;when s2=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='1';south_yellow_led<='0';south_red_led<='0';enable_cnt<='1';if(cnt=south_green_cnt)then enable_cnt<='0';end if;when s3=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='0';south_yellow_led<='1';south_red_led<='0';enable_cnt<='1';if(cnt=south_yellow_cnt)then enable_cnt<='0';end if;when s4=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=exi_cnt)thenenable_cnt<='0';end if;end case;end process u2;end ex;这个很简单的,程序应该是没有问题的,我运行过~~~如果还有问题可以+百度知道,vhdl的....。
摘要本设计是在掌握了单片机及其仿真系统使用方法基础上,综合应用数字电子技术原理、微机原理等课程方面的知识,设计一个采用74LS系列器件来控制的交通灯控制电路。
该设计结合实际情况给出了一种简单,低成本城市交通灯控制系统的硬件及软件设计方案、各个路口交通灯的状态循环显示。
硬件的设计采用74LS系列器件为核心器件。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
十字路口的交通灯在工作时应具有如下特点:红灯表示该条道路禁止通行;黄灯表示该条道路上未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
本设计利用单片机控制可以实现以下功能:(1)当东西方向为红灯,此道车辆禁止通行,东西道行人可通过;南北道为绿灯,此道车辆通过,行人禁止通行。
时间为25秒。
(2)黄灯闪烁5秒,警示车辆和行人红、绿灯的状态即将切换。
(3)当东西方向为绿灯,此道车辆通行,行人禁止;南北方向为红灯,车辆禁止通过,行人通行。
时间为20秒。
来实现整个交通次序。
关键字:交通灯红.黄.绿.灯74LS系列器件、555定时器目录引言 (3)第一章概述 (4)第二章系统设计及要求 (5)2.1设计目的 (5)2.2 设计要求 (5)第三章交通灯设计原理 (6)第四章交通灯的硬件设计 (9)4.1时序脉冲产生和分频电路设计 (9)4.1.1 555芯片的引脚说明及工作原理 (9)4.1.2 74LS04芯片的引脚说明及工作原理 (11)4.1.3 时序脉冲产生和分频电路原理图 (12)4.2 交通灯系统倒计时计数电路设计 (12)4.2.1 74LS192芯片的引脚说明及功能 (12)4.2.2 倒计时计数电路的原理图 (14)4.3 交通灯系统状态控制电路设计 (14)4.3.1 74LS194芯片的引脚说明及功能 (14)4.3.2 74LS32芯片的引脚说明及功能 (16)4.4 交通灯系统显示电路设计 (17)4.4.1 74LS48芯片的引脚说明及功能 (17)4.4.2 交通灯系统显示电路原理图 (19)第五章交通灯系统调试 (20)5.1 整个交通灯控制系统的总电路仿真 (20)5.2 交通灯控制系统的各部分电路分析 (21)5.2.1 交通灯系统计时器显示电路仿真 (21)5.2.2交通灯系统控制电路仿真 (21)5.2.3交通灯系统定时电路仿真 (22)5.2.4交通灯系统时序电路仿真 (23)第六章总结 (24)参考文献 (25)引言当今,红绿灯安装在各个道路口上,已经成为疏导交通车辆最常见和最有效的手段。
单片微型计算机原理与应用课程大作业题目:十字路口红绿交通灯控制系统课程名称:交通灯程序设计学校:重庆大学城市科技学院学院:电气学院专业:电子信息工程组员:林津朱波宇唐波闾琪组序号:第二组学号 XXXXXXXX目录一、问题的提出P3二、功能需求P3三、总体方案P4四、硬件设计P5五、程序框图P10六、软件清单P16七、仿真实验P21八、总结P28九、参考文献P29一、问题的提出:在武汉各十字路口的交通红绿灯各个时间段转换的时间都是相同的,当南北、东西方向车流量在一定的时间段有显著不同的时候,将很难合理地协调交通车流量,造成很大的资源浪费。
同时,目前还没有安置倒计时设备,使车辆和行人难以获知需要等待的时间,不仅造成不便,而且也加剧了行人闯红灯的危险行为。
另外,一旦发生事故,交警不便于控制来往车辆及行人的通行。
为此,需要设计一套可以根据时间段调整红绿灯交替时间、有倒计时显示功能且有应急处理设置的交通灯控制系统。
二、功能需求:为了易于实现,我们设定的情景是:在一定的时间段内,东西和南北方向的车流量不同,例如8:00、12:00、14:00及17:00前后一段时间,因为上下班的缘故,公路上车流量较大,而且往两个方向的车流量也可能不同,所以各个路口的红绿灯显示时间需作相应调整,车流量较大的方向上绿灯时间延长;而在其他时间,车流量较小,则将机动车辆通行路口红绿灯时间相应缩短,方便行人通过。
本设计主要体现概念功能,根据日常经验对某路口车流状态及相应红绿灯时间进行了设定。
在实际运用过程中,只需根据各路口实际情况修改相应参数即可。
设计时间表设定如下:以单片机为核心的控制系统根据上述设定实现以下功能:1.根据车流量大小,也即根据设定的时间控制不同方向车辆通行以及人行道的红绿灯显示时间,以此实现在现有基础上更加有效地管理道路资源;2.在显示红绿灯的同时,增加显示等待时间的模块;3.在紧急情况下(发生交通事故等),通过由交警控制的触发装置触发红绿灯控制系统的外部中断,使所有灯为红色。
目录。
1 系统概述 (1)1.1 应用背景及意义 (1)1.2 设计任务 (1)1.3 设计要求 (1)2 方案论证 (2)2.1 方案比较 (2)2.2 方案选择 (3)2.3 方案设计 (3)2.4 方案时序图 (5)3. 硬件设计 (6)3.1 I/O分配 (6)3.2 I/O接线图 (7)3.3 元器件选型 (7)4. 软件设计 (9)4.1 主流程 (9)4.2 梯形图 (10)5. 系统调试 (16)5.1 软件调试: (16)5.2 硬件调试 (18)设计心得 (19)参考文献 (20)附录A:程序指令 (20)1 系统概述1.1 应用背景及意义随着城市和经济的发展,交通信号灯发挥的作用越来越大,正因为有了交通信号灯,才使车流、人流有了规,同时,减少了交通事故发生的概率。
然而,交通信号灯不合理使用或设置,也会影响交通的顺畅。
因此,在实际设计时要遵循一定的原则和要求。
在十字路口,四面都悬挂着红、黄、绿、三色交通信号灯,它是不出声的“交通警察”。
红绿灯是国际统一的交通信号灯。
红灯是停止信号,绿灯是通行信号。
交叉路口,几个方向来的车都汇集在这儿,有的要直行,有的要拐弯,到底让谁先走,这就是要听从红绿灯指挥。
红灯亮,禁止直行或左转弯,在不碍行人和车辆情况下,允许车辆右转弯;绿灯亮,准许车辆直行或转弯;黄灯亮,停在路口停止线或人行横道线以,已经继续通行;黄灯闪烁时,警告车辆注意安全。
1.2 设计任务采用PLC构成十字路口带倒计时显示的南北向和东西向交通信号灯的电气控制。
系统上电后,交通指挥信号控制系统由由一个3位转换开关SA1控制。
SA1手柄指向左45º时,接点SA1-1接通,交通指挥系统开始按常规正常控制功能工作,按照如图11-9所示工作时序周而复始,循环往复工作。
正常运行时,南北向及东西向均有两位数码管倒计时显示牌同时显示相应的指示灯剩余时间值。
SA1手柄指向中间0º时,接点SA1-2接通,交通指挥系统南北向绿灯常亮,东西向红灯常亮,数码管显示99不变。
SA1手柄指向右45º时,接点SA1-3接通,交通指挥系统东西向绿灯常亮,南北向红灯常亮,数码管显示99不变。
1.3 设计要求要求学生掌握一定的理论基础知识,同时具备一定的实践设计技能,并且能够利用PLC控制技术结合实际情况进行系统设计以及编程。
在课程设计中,学生是主体,应充分发挥他们的主动性和创造性。
教师的主导作用是引导其掌握完成设计容的方法。
为保证顺利完成设计任务还应做到以下几点:1. 在接受设计任务后,应根据设计要求和应完成的设计容进度计划,确定各阶段应完成的工作量,妥善安排时间。
2. 在方案确定过程中应主动提出问题,以取得指导数师的帮助,同时要广泛讨论,依据充分。
在具体设计过程中要多思考,尤其是主要参数,要经过计算论证。
3. 所有电气图样的绘制必须符合国家有关规定的标准,包括线条、图型符号、项目代号、回路标号、技术要求、标题栏、元器件明细表以及图样的折叠和装订。
4. 说明书要求文字通顺、简练,字迹端正、整洁。
5. 应在规定的时间完成所有的设计任务。
6. 如果条件允许,应对自己的设计线路进行试验论证,考虑进一步改进的可能性。
2 方案论证2.1 方案比较1、基于数字电路的交通灯控制系统2、基于单片机的交通灯控制系统3、基于PLC的交通灯控制系统方案1:数字电路是最为常用的一种控制设计电路,但是数字电路涉及复杂,且设计完成后电路参数是固定的,移植性差,体积大,成本高,所以是不利于交通信号灯的设计的方案2:在单片机控制系统电路中需要加入A/D,D/A转换器,线路复杂,还要分配大量的中断口地址。
而且单片机控制电路易受外界环境的干扰,也具有不稳定性。
并且单片机的功能实现是基于程序设计的,维修时需要具备一定编程基础的人来维修,要求较高,所以基于单片机实现的交通灯控制系统也不是理想的选择方案3:PLC又称可编程逻辑控制器,作为一种新型的自动控制设备,它采用微电子技术,用软件代替了大量的硬件设备,不需要复杂的线路设计与连接,大大缩小了线路体积,因此它寿命长,并且具有很高的可靠性,在设计语言方面通过采用梯形图,简便直观,符合电气工人和技术人员的读图习惯,在安装,操作和维护也较容易,移植性较好;起程序设计和产品调试周期短,具有很好的经济效。
通过比较上述三种方案,可知方案3是最佳选择。
2.2 方案选择综上所述,我选择第三种方案,也就是基于PLC的交通灯控制系统。
因为它可靠性高,抗干扰强,结构简单,使用、维护方便,功能强大,还有它的体积小,重量轻,功耗低。
2.3 方案设计十字路口交通信号灯现场示意图如图2.1所示Y2Y1Y0Y4 Y5 Y6Y0Y1Y2Y6Y5Y4北南西东图2.1交通信号灯现场示意图依据题目容,知道要求如下。
正常控制时:①当东西方向允许通行(绿灯)时,南北方向应禁止通行(红灯);同样,当南北方向允许通行(绿灯)时,东西方向应禁止通行(红灯)。
②在绿灯信号要切换为红灯信号之前,为提醒司机提前减速并刹车,应有明显的提示信号:绿灯闪烁同时黄灯亮。
③信号灯控制系统启动后应能自动循环动作。
SA1手柄指向中间0º时:接点SA1-2接通,交通指挥系统南北向绿灯常亮,东西向红灯常亮,数码管显示99不变SA1手柄指向右45º时:接点SA1-3接通,交通指挥系统东西向绿灯常亮,南北向红灯常亮,数码管显示99不变。
故设计思路如下:以设置四个输入X000,X001,X002,X003分别作为系统总开关、交通灯正常工作控制开关、南北向交通灯常绿控制开关、东西向交通灯常绿控制开关。
用其他开关的常闭触点设置互锁,使三个状态不可能同时接通。
进入正常工作状态后,东西方向红灯亮30秒,由定时器T5实现,南北方向绿灯常亮25秒,由定时器T0实现,然后绿灯闪烁3秒,由定时器T1、T2来实现0.5秒振荡,计数器C0计数3次。
计数到后,C0的常开触点闭合,可以用来控制使南北方向的黄灯亮,并用T3计时2秒。
T3计时到后南北红灯亮30秒,由定时器T4实现,东西方向绿灯常亮25秒,由定时器T6实现,然后闪烁3秒,有定时器T7、T8来实现0.5秒振荡,计数器C1计数3次。
计数到后,C1的常开触点闭合,可以用来控制使东西方向的黄灯亮,并用T9计时2秒。
由于在各个方向三种信号灯亮的同时还要利用数码管显示相应指示灯的剩余时间,因此可以利用七段码译码指令SEGD。
七段码译码指令SEGD是驱动七段显示器的指令,可以显示一位十六进制数据。
源操作数S存储待显示数据,该单元低4位(只用低4位)所确定的十六进制数0-F经解码后存于指定的目的操作数D的低8位,高8位保持不变。
源操作数可为K、H、KnM、KnX等。
由于译码时只对低4位进行译码,所以n一般取1。
使用译码指令输出为十六进制数,而我们所用到的倒计时显示只是十进制数,使用SEGD译码指令需要在其自减到0时进行人为赋值使其变为9,否则将显示F。
可见只使用SEGD指令将使程序变得十分复杂。
我们可以采用数据变换指令中的二进制数转换成BCD码并传送BCD指令。
BCD变换指令将源元件中的二进制数转换为BCD码并送到目标元件中。
PLC 部的算术运算用二进制数进行,可以用BCD指令将二进制数变换为BCD数后输出到七段数码管显示,可以实现倒计时。
南北方向数码管显示绿灯28秒倒计时时,可以在东西红灯亮且南北黄灯不亮时,每遇到东西红灯的上升沿,给数据寄存器赋值28,通过BCD指令转换成BCD码,存入八位中间继电器K2M,低4位中间继电器中的值经译码指令由一个数码管输出,高4位中间继电器中的值经译码指令SEGD由另一个数码管输出。
上升沿过后,数据寄存器D中的值每秒通过DEC指令自减1,并输出,实现倒计时,其中1秒时间可由1秒的时钟M8013的下降沿来实现,也可以用定时器T的0.5秒振荡电路实现。
由于采用M8013可能第一秒有时间误差,因此此程序采用了振荡电路。
南北黄灯2秒倒计时可以在黄灯上升沿时赋值,黄灯亮时自减。
数码管输出显示方法与前面绿灯时类似。
南北方向红灯30秒倒计时在红灯上升沿时赋值,红灯亮时自减,数码管显示方法同上。
东西方向的倒计时显示思路与南北方向相同。
当转换开关SA1的手柄指向中间0°时,X002的常开触点接通,常闭触点断开,交通灯系统转向执行南北绿灯常亮,东西红灯常亮的运行状态。
当转换开关SA1的手柄指向右45°时,X003的常开触点接通,常闭触点断开,交通灯系统转向执行东西绿灯常亮,南北红灯常亮的运行状态。
3. 硬件设计3.1 I/O分配表3.1交通控制灯I/O地址定义表3.2 I/O接线图根据信号灯控制要求,I/O分配及接线图如图3.1所示。
总开关南北向交通灯常绿东西向交通灯常绿图3.1 I/O 接线图3.3 元件选型选型设备如图所示:表3.1选型设备表直流电源24V:通过购买获得,可以选择雅庆电子的AD24V15A型号的直流电源。
限流电阻选择:电源电压为24V, 通常的数码管是由发光二极管构成的,发光二极管的压降是比较固定的,通常红色为1.6V左右,工作电流通常10mA左右,限流电阻R=(Vcc-1.6V)/10mA=2.24KΩ,故电阻选择为2.3KΩ。
PLC选型原则:1.输入输出(I/O)点数的估算I/O点数估算时应考虑适当的余量3,通常根据统计的输入输出点数,再增加5%-20%左右的备用I/O点数,作为输入输出点数估算数据。
本设计输入点数为4个,输出点数为38个。
故PLC的输入输出点数可为40个。
2.存储器容量的估算存储器容量是可编程序控制器本身能提供的硬件存储单元大小,程序容量是存储器中用户应用项目使用的存储单元的大小,因此程序容量小于存储器容量。
本设计程序较为简单,程序容量不大,因此一般的小型机种,即存储容量4-8KB 的PLC即可满足。
3.安装形式的选择常用的PLC结构由单元式和模块式,还有两者的结合体。
一般小型控制系统选择单元式,结构紧凑,可以直接安装在控制柜,而大型控制系统一般选择模块式。
因为本控制系统属于小型控制系统,故采用单元式安装形式。
4.输入输出接口电路形式的选择输入形式采用直流输入形式,输出型是采用继电器输出。
5.PLC供电方式的选择本设计采用交流作为PLC的供电方式。
6.PLC型号的选择通过对输入/输出点的选择、对存储量的选择,对I/O响应时间的选择输出系列的PLC。
它体积小,负载的特点选型的分许。
该控制系统选用三菱公司FX2N重量轻,使用寿命长,编程和维护方便,故障率低,通过扩展模块的,可以增加输入/输出点数。
因此选用型号为三菱FX1N-80MR-001的PLC,输入输出点数为40,且置8K容量的RAM存储器,最大可以扩展到16K。