当前位置:文档之家› 课程设计-基于DAC0832的波形发生器设计讲解

课程设计-基于DAC0832的波形发生器设计讲解

课程设计-基于DAC0832的波形发生器设计讲解
课程设计-基于DAC0832的波形发生器设计讲解

波形发生器设计

目录

摘要 (1)

第一章绪论 (2)

第二章DAC0832及其特性 (3)

2.1 D/A转换器与单片机接口探究 (3)

2.1.1 数据线连接 (3)

2.1.2 地址线连接 (3)

2.1.3 控制线连接 (3)

2.2 DAC0832的认识 (4)

2.2.1 DAC0832的结构 (4)

2.2.2 DAC0832的引脚 (4)

2.2.3 DAC0832的启动控制方式 (5)

第三章硬件设计 (7)

3.1 启动方式选择 (7)

3.2 框图设计 (7)

3.3 电路图设计 (7)

第四章程序设计 (9)

4.1 程序流程图 (9)

4.1.1 程序设计思路 (9)

4.1.2流程图 (9)

4.2 用C语言实现 (11)

4.3 用汇编语言实现 (14)

第五章Proteus仿真及结果 (17)

5.1方波: (17)

5.2正弦波: (17)

5.3三角波: (18)

5.4梯形波: (18)

5.5锯齿波: (19)

设计心得: (20)

参考文献: (21)

摘要

本设计使用AT89C51单片机做控制,选择8位D/A转换器DAC0832作D/A 转换。

硬件方面,首先51熟悉单片机的结构和工作原理,连接单片机的最小系统。之后熟悉D/A转换器工作方式,经过分析后选择DAC0832的单缓冲启动控制方式,完成电路框图。进一步根据设计要求完成通过独立按键控制D/A输出,作出电路框图和电路原理图。

软件方面:设计思路主要体现在两点上。一是控制,通过程序控制DAC转换与输出,按键消抖,选择相应的即将输出的波形。二是产生波形,根据波形的特点编写程序以产生相应波形的数字信号。

分别通过C语言和汇编语言实现简易的波形发生器,输出方波、正弦波、三角波、梯形波和锯齿波,通过独立按键控制分别输出不同的波形。以KILL 与Proteus为设计平台,仿真测试设计结果的正确性。

关键字: 51单片机,DAC0832,单缓冲启动控制方式,波形发生器,C语言设计,汇编语言设计

第一章绪论

单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。单片机由运算器,控制器,存储器,输入输出设备构成,相当于一个微型的计算机(最小系统),和计算机相比,单片机缺少了外围设备等。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制领域。51单片机是目前最流行的8位单片机。51单片机是对所有兼容Intel8031指令系统的单片机的统称。该系列单片机的始祖是Intel的8031单片机,后来随着Flashrom技术的发展,8031单片机取得了长足的进展,成为应用最广泛的8位单片机之一,其代表型号是ATMEL公司的AT89系列,它广泛应用于工业测控系统之中。很多公司都有51系列的兼容机型推出,今后很长的一段时间内将占有大量市场。51单片机是基础入门的一个单片机,还是应用最广泛的一种。需要注意的是52系列的单片机一般不具备自编程能力。

第二章DAC0832及其特性

2.1 D/A转换器与单片机接口探究

D/A转换器与单片机接口具有软硬相依性。在连接过程中要考虑到数据线、地址线、控制线的连接。

2.1.1 数据线连接

D/A转换器与单片机接口要考虑到两个问题:一个是位数,当高于8位的D/A转换器与8位数据总线的51单片机接口时,51单片机的数据必须分时输出,这时就必须考虑数据分时传送的格式和输出电压“毛刺”问题;二是D/A转化器的内部结构,当D/A转换器的内部结构没有输入锁存器时,必须在单片机与D/A转换器之间增设锁存器或者I/O口。最常用的是8位带锁存端的D/A转换器与8位单片机的接口,这时只需要将单片机的数据总线和D/A转换器的8位数据输入端一一对应即可。

2.1.2 地址线连接

一般的D/A转换器只有片选信号,而没有地址线。这时单片机的地址线采用全译码或者部分译码,经译码器输出控制片选信号,也可以用某一位I/O线来控制片选信号。也有少数D/A转换器有少量的地址线,用于选中片内独立的寄存器或者选择输出通道,这时单片机执行的地址线与D/A转换器的地址线对应连接。

2.1.3 控制线连接

D/A转换器主要有片选信号、写信号、及启动转换信号等,一般由单片机的有关引脚或译码器提供。一般来说,写信号多由单片机的WR信号控制;启动信号常常由片选信号与写信号的组合形式(LE1、LE2)形成,当单片机执行一条输出指令时,传送的地址使转换器的片选信号有效,由WR实现启动。(具体实现原理见1.2节)

2.2 DAC0832的认识

图1.1 DAC0832的管脚结构

2.2.1 DAC0832的结构

DAC0832的结构如图1.1所示,主要由控制逻辑电路、输入寄存器、DAC 寄存器和D/A转换器构成。

由上图逻辑控制电路可知:

WR)ILE

LE1=(CS+1

WR+XREF

LE2=2

由LE1控制输入寄存器读入数据,由LE2控制输入寄存器向DAC寄存器传输数据,同时开始D/A转换。

2.2.2 DAC0832的引脚

DAC0832的引脚如图1.1所示:

DI0~DI7:8位数据输入线,高电平有效。

ILE:数据锁存允许控制输入线,高电平有效。

CS :片选信号输入线,低电平有效。

1WR :

输入锁存器写选通输入线,负脉冲有效。输入锁存器的信号就是LE1。LE1负跳变有效。

XREF :数据传送控制信号输入线,低电平有效。

2WR :DAC 寄存器写选通信号输入线,负脉冲有效。DAC 锁存信号就是LE2,LE2的负跳变有效。

1OUT I :模拟电流输出线。

2OUT I :模拟电流输出线,采用单极性输出时,2OUT I 通常接地。

FB R :反馈信号输入线。反馈电阻FB R 被制作在芯片里,用作外接运放的反馈电阻,为D/A 转换器提供电压输出。

REF V :参考电压输入端。要求外接一精密电压源,电压范围在-10V~+10V 之间选定。通过REF V 的符号来改变输出极性。

CC V :电源接口。

AGND :模拟地。

DGND :数字地。

注:数字信号的高频噪声很大,如果模拟信地和数字地混合的话,就会把数字的噪声传到模拟部分,造成干扰。如果分开的话,高频噪声可以在电源处通过滤波来消除掉。

2.2.3 DAC0832的启动控制方式

DAC0832有三种启动控制方式:

(1)直通方式:将CS 、1WR 、XREF 、2WR 信号都接地,ILE 信号引脚接高电平,只要数据传送到数据线上,两级锁存器同时开始工作,并启动D/A 转换,即转换器处于非受控状态。在这种工作方式下,转换器的数据线不能直接和单片机的数据线(P0.0~P0.7)相连接。因为P0口分时复用为低8位的地址总线和数据总线,对输出无锁存能力,会使转换器的输出不确定。但是可以将ADC 的数据线连接到某个I/O 口上,执行端口输出指令(MOV Px A )即可启动一次

D/A转换。这种方式很少采用。

(2)单缓冲方式:两级锁存器接受同一种控制,例如将2

WR和XREF直接接地,8位ADC锁存器处于非受控状态,只有8位输入锁存器处于受控状态,即此时仅有LE1控制ADC。这种方式在不要求多个模拟同步输出时采用。

(3)双缓冲方式:

俩个锁存器都处于受控状态,单片机要对转换器进行两步写操作:第一次执行指令使LE1有效,称作第一级缓冲,第二次执行指令使LE2有效,称作第二级缓冲。这种方式的优点是数据接收和启动转换可以异步进行,可在D/A转换的同时接收下一个转换数据,以提高转换速度,还可以实现多个转换器同时启动转换,同步输出。

第三章硬件设计

3.1 启动方式选择

因为本设计要求设计一个波形发生器,要求能产生正弦波、方波、三角波、梯形波、锯齿波,而且由按键控制输出的波形。故各个波形输出并非同步输出,而是由按键控制,单个输出,并且本例只需要一个DAC,如果选择双缓冲方式就会加大不必要的难度;直通方式不能有效的控制DAC转换,转换时可能会出现数据流失。故选择单缓冲启动控制方式来驱动DAC0832。

3.2 框图设计

根据2.1节的判断,选择AT89c51单片机作控制,实现电路框

如下:

图2.1 硬件设计框图

3.3 电路图设计

根据以上框图,选择+12V的基准电压,选择一级集成运放作放大电路,连接A/D转换电路图如下:

此外加上单片机最小系统,用P1口控制输出的波形,电路连接如下:

图2.3 电路原理图

第四章程序设计

4.1 程序流程图

4.1.1 程序设计思路

(1)因为要使用到按钮(本例中选择独立按键),必须使用到键盘扫描,首先要进行键盘消抖,然后通过扫描确定是哪个按键被按下,通过I/O口(本例中为P1口)的数据判断选择五种波形中的哪一个;

(2)根据(1)中的结果,通过编程产生各个波形的数字信号;

(3)控制DAC转换数字信号为模拟信号。

程序框图如下:

图3.1 程序设计思路

4.1.2流程图

在本流程图中分别以k1、k2、k3、k4、k5代表单片机的I/O口P1.0、P1.1、P1.2、P1.3、P1.4;设置flag1、flag2、flag3、flag4、flag5为1时分别代表方波、正弦波、三角波、梯形波、锯齿波。当确认按键按下时,令相对应的flagx=1,然后用连续的判断程序来选择将要输出的波形。程序实现了只需按一下按钮便可持续输出对应的波形。

流程图如下:

图3.2 程序流程图

4.2 用C语言实现

根据程序流程图用C语言编程,得C语言实现的代码为:

#include

#include

#define DAC0832 XBYTE[0x7fff]

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

sbit k4=P1^3;

sbit k5=P1^4;

int flag1=0;

int flag2=0;

int flag3=0;

int flag4=0;

int flag5=0;

unsigned char code zhengxian[256]={ 此处省略为正弦波数字信号} ; void delay() //延时程序

{

int i;

for(i=0;i<1000;i++);

}

void panduan(void) //函数panduan用于扫描按键状态,判断输出波形{

if(k1==1){ //按键消抖

delay();

if(k1==1) //通过赋值flag选择波形

flag1=1;

flag2=0;

flag3=0;

flag4=0;

flag5=0;

}

if(k2==1){

delay();

if(k2==1)

flag1=0;

flag2=1;

flag3=0;

flag4=0;

flag5=0;

}

if(k3==1){

delay();

if(k3==1)

flag1=0;

flag2=0;

flag3=1;

flag4=0;

flag5=0;

}

if(k4==1){

delay();

if(k4==1)

flag1=0;

flag2=0;

flag3=0;

flag4=1;

flag5=0;

}

if(k5==1){

delay();

if(k5==1)

flag1=0;

flag2=0;

flag3=0;

flag4=0;

flag5=1;

}

}

void boxing(void) //函数boxing用于产生选择好的波形{

if(flag1==1) //产生方波

{

DAC0832=0x00;

delay();

DAC0832=0xff;

delay();

}

else if(flag2==1) //产生正弦波

{

int i;

for(i=0;i<256;i++){

DAC0832=zhengxian[i];

}

}

else if(flag3==1) //三角波

{

int i;

for(i=0;i<255;i++)

DAC0832=i;

for(i=255;i>0;i--)

DAC0832=i;

}

else if(flag4==1) //梯形波

{

int i;

for(i=0;i<255;i++)

DAC0832=0;

for(i=0;i<255;i++)

DAC0832=i;

for(i=255;i>0;i--)

DAC0832=0XFF;

for(i=255;i>0;i--)

DAC0832=i;

}

else if(flag5==1) //锯齿波

{

int i;

for(i=0;i<255;i++)

DAC0832=i;

}

}

void main(){ //主函数

P1=0x00;

while(1)

{

panduan();

boxing();

}

}

4.3 用汇编语言实现

在用C语言实现设计之后,我又尝试使用汇编语言完成任务,由于对汇编语言还不够熟悉,只做到了以开关控制的矩形波、三角波、梯形波和锯齿波。

程序为:

START: MOV DPTR ,#7FFFH

MOV A,#00

LOOP: MOV R0 ,#00

MOV P1 ,R0

MOV R0 ,P1

JB P1.0 , K0

JB P1.1 , K1

JB P1.2 , K2

JB P1.3 , K3

K0: AJMP LOOP1

K1: AJMP LOOP2

K2: AJMP LOOP3

K3: AJMP LOOP4

LOOP1: MOV A,#00 ;LOOP1:方波

MOVX @DPTR ,A

MOV R1, #255

MOV R4, #255

DEL1: DJNZ R1,$

DJNZ R4, DEL1

MOV A,#255

MOVX @DPTR ,A

MOV R1, #255

MOV R4, #255

DEL2: DJNZ R1,$

DJNZ R4, DEL2

LJMP LOOP

LOOP2: ;LOOP3:三角波形UP: MOVX @DPTR,A

INC A

CJNE A,#0FFH,UP

DOWN:MOVX @DPTR,A

DEC A

CJNE A,#00H,DOWN

LJMP LOOP

LOOP3: ;LOOP4:梯形波UP1: MOVX @DPTR,A ;上升阶段

INC A

CJNE A,#0FFH,UP1

MOVX @DPTR ,A ;上底

MOV R1, #255

DJNZ R1,$

DOWN1:MOVX @DPTR,A ;下降阶段

DEC A

CJNE A,#00H,DOWN1

MOVX @DPTR ,A ;下底

MOV R1, #255

DJNZ R1,$

LJMP LOOP

LOOP4: MOVX @DPTR ,A ;LOOP5:锯齿波INC A

MOV R1, #10

DJNZ R1,$

LJMP LOOP

END

第五章Proteus仿真及结果

将程序代码在Kill中编译输出.HEX文件,烧写进单片机中,用Proteus仿真软件仿真,得到的输出波形为:

5.1方波:

图5.1 输出方波波形

5.2正弦波:

图5.2 输出正弦波形

5.3三角波:

图5.3 输出三角波形5.4梯形波:

图5.4 输出梯形波形

5.5锯齿波:

图5.5 输出锯齿波形

便携式双声道音频信号发生器的制作_图文(精)

口器件与电路 酽囿盯,龟岛囿响四@60,@凹滁 便携式双声道音频信号发生器的制作.产品设计. 齐忠琪 (新疆师范大学教育科学学院。新疆鸟鲁木齐830053 【摘要】音频信号发生器是测量声音信号处理设备性能指标必不可少的仪器,早期音频信号发生器由基本的Lc 振荡电路及外围电路所组成。目前常用的音频信号发生器普遍使用单片机及外围电路所组成。介绍了用多媒体计算机和便携式多媒体播放器制作双声道音频信号发生器的方法。用此方法制作双声道音频信号发生器具有制作方法简单、成本低、携带方便等优点。 【关键词】音频信号发生;双声道;多媒体计算机 【中图分类号】TP37【文献标识码】A Production of Portable Double Channel Audio Signal Generator Qt Zhongqi (College of Education Science,Xinjiang Normal University,Urumqi 830053,China 【Abstract]Audio signal generator is essential equipment to measure the sound signal and deals with equipment performance.Early audio signal generator consists of the basic LC oscillator circuit and external circuit.The audio signal generator currently widely uses microcontroller and external circuit.Equipment has a certain size and weight,certain funds for equipment needs.the method of multimedia computers and portable media players how tO make double-channel audio signal generator are described.This method is simple,low cost and easy to carry?

课程设计波形发生器

一、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 二、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图) (2)方案的比较与确定 方案一:

文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f 时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时, 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计 此电路由反相输入的滞回比较器和RC电路组成。RC回路既作为延迟环节,又作为反馈网络,通过RC充、放电实现输出状态的自动转换。设某一时刻输出

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

高频信号发生器的设计与制作

一、概要 在高等学校课程设计是一个重要的教学环节,它与实验、生产实习、业设计构成实践性教学体系。由此规定了课程设计的三个性质:一是教学,学生在教师指导下针对某一门课程学习工程设计; 二是实践性,课程设包括电路设计、印刷板设计、电路的组装和调试等实践内容; 三是群众性、主动性,课程设计以学生为主体,要求人人动手,教师只起引导作用,主任务由学生独立完成,学生的主观能动性对课程设计的完成起决定性作。学生较强的动手能力就是依靠实践性教学体系来培养的。 1.1 何谓课程设计 所谓课程设计就是大型实验,是具有独立制作和调试的设计性实验,其基本属性体现在工程设计上。但课程设计毕竟不同于一般实验。 首先是时间和规模不同,一般实验只有两学时,充其量为四学时;而课程设计一般为一~两周。实验所要达到的目的较小。通常只是为了验证某一种理论、掌握某一种参数的测量方法、学习某一种仪器的使用方法等等;而课程没计则是涉及一门课程甚至几门课程的综合运

用,所以课程设计是大型的。 其次,完成任务的独立性不同,一般实验学生采用教师事先安排好的实验板和仪器,实验指导书上详细地介绍了做什么和如何做,实验时还有教师现场指导,学生主要任务是搭接电路,用仪器观察现象和读取数据,因此实验是比较容易完成的;而课程设计不同,课程设计只给出所要设计的部件或整机的性能参数,由学生自己去设计电路、设计和制作印刷电路板,然后焊接和调试电路,以达到性能要求。 课程设计和毕业设计性质非常接近,毕业设计是系统的工程设计实践,而课程设计则是工程设计实践的初步训练,它为毕业设计打下一定基础。课程设计与毕业设计在规模上和要求上,大小高低不同,但它们都属于工程设计,因此工作步骤是类似的。 1.2 课程设计的目的要求 1 、课程设计的目的是帮助学生综合运用所学的理论知识,把一些单元电路有机地组合起来,组成小的系统,使学生建立系统的概念;并使学生巩固和加强已学理论知识。并掌握一般电子电路分析和设计的基本步骤。 2 、掌握常用元器件的检测、识别方法及常用电子仪器的正确使用方法。 3 、掌握印制板的制作流程以及protel 99 SE的使用等基本技能。 4 、培养一定的独立分析问题、解决问题的能力。对设计中遇到的问题能通过独立思考、查阅有关资料,寻找解决问题的途径;对调试中

模电课程设计(波形发生器)

课程设计 课程名称模拟电子技术基础课程设计题目名称波形发生电路_ 学生学院物理与光电工程学院 专业班级电子科学与技术(5)班 学号 学生姓名 指导教师 2013-12-10

一、题目: 波形发生电路 二、设计任务与技术指标 要求:设计并制作用分立元件和集成运算放大器组成的能产生正弦波、方波和三 角波的波形发生器。 基本指标: 1、输出的各种波形基本不失真; 2、频率范围为50H Z ~20KH Z ,连续可调; 3、方波和正弦波的电压峰峰值V PP >10V ,三角波的V PP >20V 。 三、电路设计及其原理 1) 方案的提出 方案一 ①用RC 桥式振荡器产生正弦波。 ②正弦波经过一个过零比较器产生方波。 ③方波通过积分运算产生三角波。 方案二 ①由滞回比较器和积分运算构成方波和三角波发生电路。(如图1所示) ②再由低通滤波把三角波转成正弦波。 方案三 ①由滞回比较器和积分运算构成方波和三角波发生电路。(同方案二) ②利用折线法把三角波转换成正弦波。(如图2所示) 图1 图3 图2

2)方案的比较 方案一中以RC串并联网络为选频网络和正反馈网络、并引入电压串联负反馈,从而产生正弦波。为了稳定正弦波幅值,一般要在反馈电阻一边串联一对反向的并联二极管,但这样会使正弦波出现交越失真。R1/R2=2时,起振很慢; R1/R2>2时,正弦波会顶部失真。调试困难。还有,RC桥式振荡器对同轴电位器的精确度要求较高,否则,正弦波很容易失真。 方案二的低通滤波产生正弦波适宜在三角波频率固定或变化小时使用,而本次课程设计要求频率50Hz-20KHz,显然不适合。 方案三滞回比较器和积分比较器首尾相接形成正反馈闭环系统,这样就形成方波发生器和三角波发生器。滞回比较器输出的方波经积分产生三角波,三角波又触发比较器自动翻转成方波。 另外,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。虽然反馈网络中电阻的匹配困难,但可以通过理论计算出每个电阻阻值后再调试。这样可以省下很多功夫。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 3)单元电路设计 方波---三角波产生电路

模电课程设计-波形发生器

一、设计题目 波形发生电路 二、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 指标:输出频率分别为:102H Z、103H Z和104Hz;输出电压峰峰值V PP≥20V 三、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图)

(2)方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,C1=C2。即f=f 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

基于EDA音乐播放器的设计

长沙学院课程设计说明书 题目音乐播放器的设计 系(部) 电子与通信工程 专业(班级) 电气工程及其自动化(一班) 姓名 学号 指导教师 起止日期 2010-11-29至2010-12-10

EDA技术课程设计任务书 系(部):电子与通信工程系专业:电气工程及其自动化指导教师:

长沙学院课程设计鉴定表 目录

摘要 (4) 设计原理 (5) 程序: (6) 实验现象: (14) 引脚分配: (14) 仿真波形: (15) 心得体会: (16) 参考文献: (16) 摘要:

在SOPC开发平台上实现一个音频信号发生器,编写3段音乐,利用开发平台的蜂鸣器来播放几段音乐。可进行自动循环播放和手动播放两个模式的选择。如果为手动播放,则通过拨动拨码开关第1、2位选择。播放音乐时,要求将该音乐的序号(分别为A1、A2,A3)以及该音乐播放剩余的时间(分、秒)显示在数码管上。当某首音乐开始播放时,音乐序号闪烁显示3秒钟。 关键字: SOPC、音频信号、音乐、蜂鸣器、自动循环、手动播放、剩余时间(分钟、秒钟)显示、音乐序号(A1、A2、A3)显示。 设计原理: 首先采用分块设计法,将设计分为分频模块、时间动态扫描显示模块、音乐播放模块、顶层模块。其中音乐播放模块又可分为音频模块、音乐代码模块,预置数模块利用计数的方式将50MHZ的频率分为12MHz、100Hz、8Hz、1Hz。 音乐播放模块需要完成以下设计: ①预置乐曲,本次设计选取了《梁祝》的一段作预置,在作预置时,需要将乐曲音符转换成相应的代码,通过计算逐一将音符转换成代码,通过EDA开发平台quartus Ⅱ进行乐曲定制; ②为了提供乐曲发音所需要的发音频率,编写数控分频器程序,对单一输入高频,进行预置数分频,生成每个音符发音的相应频率; ③为了给分频提供预置数,需要计算分频预置数; 对每部分结构单元逐一进行编译,生成相应的元器件符号,并对独立结构单元功能进行仿真。 音调的控制 频率的高低决定了音调的高低。综合考虑各因素,本次设计中选取12MHZ作为CLK的分频计数器的输入分频信号。由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。 表1 简谱中的音名与频率的关系 这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz的时钟频率即可产生四分音符的时长。系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒.如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐曲文件的时候就会连续读到三次,也就会发三个0.25秒的音长,这时我们听上去就会持续了三拍的时间,这样就可以控制音乐的音长了

微机原理课程设计波形发生器

微机原理课程设计 波形发生器 基本要求: (1)通过按键选择波形,波形选择(方波、三角波)。8255 A 和0832 (2)通过按键设定波形的频率,同时波形频率在数码管上显示。8255A (3)频率设定后,通过8253精确计时来设置波形宽度大小,比如方波的占空比。(4)8259A产生中断,用示波器显示输出波形。 附加要求: (1)通过按键可以增大或者降低频率; (2)显示正弦波。

目录 一理论部分 1.1 课程设计的目的 (2) 1.2 课程设计要求与内容 (2) 1.3 总体设计方案 (2) (1)设计思想及方案论证 (2) (2)总体设计方案框图 (3) 1.4 系统硬件设计 (4) 1.5 系统软件设计 (5) 二实践部分 2.1 系统硬件原理简介 (6) 2.2 程序调试 (9) 2.3 软件系统的使用说明 (9) 三课程设计结果分析 3.1 实验结果 (10) 3.2 结果分析 (11) 四课程设计总结 (11) 五附录 5.1源程序及说明 (12)

波形发生器 一 理论部分 1.1 课程设计的目的 (1)综合模拟电子线路、数字电子技术和微机原理等多门专业基础课程的知识,使学生对 以计算机为核心的通信、测量或控制系统有个全面了解和实践的过程。 (2)掌握常规芯片的使用方法、掌握简单微型计算机应用系统软硬的设计方法,进一步锻炼同学们在微型计算机应用方面的实际工作能力,强化本学科内容并扩展知识面。 (3)体验分析问题、提出解决方案、通过编程等手段实现解决方案、不断调试最终达到设计要求的全过程。 (4)培养学生的创造力和对专业的适应性。 1.2 课程设计的内容和要求 1、通过按键选择波形,波形选择(方波、三角波、正弦波)。8255 A 和0832 2、通过按键设定波形的频率,同时波形频率在数码管上显示。8255A 3、频率设定后,通过8253精确计时来设置波形宽度大小,比如方波的占空比。 4、8259A 产生中断,用示波器显示输出波形。 5、通过按键可以增大或者降低频率; 6、画出电路原理图,说明工作原理,编写程序及程序流程图。 1.3 总体设计方案 (1)设计思想及方案论证 由于要求达到模拟信号波形发生,因此要由D/A 转换芯片0832来来完成此项任务,由8253形成波形的主要做法是:先输出一个下限电平,将其保持t 然后输出一个稍高的电平,在保持t ,然后重复此过程,因此需要延长0832输入数据的时间间隔来改变频率。如图1信号发生波形图所示。0832输入的数据的延时可以通过软件完成,也可以通过硬件完成。由于实验要求输出的波的频率可以改变,且精确,所以选用硬件延时 硬件延时主要由计时器8253和中断控制器8259来实现。由8253输出的方波的高低电平,来触发8259的IR0端,8259给CPU 中断信号,CPU 中断来执行相应的中断子程序,中断子程序为向0832输出数据的程序,通过选择此程序可以产生锯齿波,方波,正弦波。由于0832产生的方波的频率可以控制,所以每次中断执行波形发生程序的时间间隔可以精确控制。以此来控制输出的波形频率。最后通过8255驱动LED 数码显示管,实现对输入的频率的显示,由键盘直接输入波形频率,通过LED 数码显示管显示。 +5V 0V 图1 信号发生波形图

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

课程设计——波形发生器

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

(完整版)高频电子线路课程设计

课程设计 班级:电信12-1班 姓名:徐雷 学号:1206110123 指导教师:李铁 成绩: 电子与信息工程学院 信息与通信工程系

目录 摘要 (1) 引言 (2) 1. 概述 (3) 1.1 LC振荡器的基本工作原理 (3) 1.2 起振条件与平衡条件 (4) 1.2.1 起振条件 (4) 1.2.2平衡条件 (4) 1.2.3 稳定条件 (4) 2. 硬件设计 (5) 2.1 电感反馈三点式振荡器 (5) 2.2 电容反馈三点式振荡器 (6) 2.3改进型反馈振荡电路 (7) 2.4 西勒电路说明 (8) 2.5 西勒电路静态工作点设置 (9) 2.6 西勒电路参数设定 (10) 3. 软件仿真 (11) 3.1 软件简介 (11) 3.2 进行仿真 (12) 3.3 仿真分析 (13) 4. 结论 (13) 4.1 设计的功能 (13) 4.2 设计不足 (13) 4.3 心得体会 (14) 参考文献 (14)

徐雷:LC振荡器设计 摘要 振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度为10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。 关键词:振荡器;西勒电路;Multisim Abstract The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation. Key Words:Oscillator; Seiler; Multisim 1

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

高频课程设计

中原工学院 课程设计报告 课题名称:AM传输系统设计 姓名:xxxxx 班级:信息类101 学号:xxxxx 同组人员:xxxxxx 指导教师:魏平俊、高丽

现代通信电路 课程设计任务书 1、设计题目:AM传输系统的设计 2、包含项目: (1)信号源产生模块(模拟语音信号); (2)载波信号产生模块 (3)AM调制器:平衡调制器 (4)AM解调器:解调AM信号 3、设计要求: (1)在进入实验室进行实际操作前,提交准备报告:包括综合设计概况、主要技术指标、相应模块的实现方法;提交模块的 电路原理图;提交采用的器件资料。 (2)实验操作可在ZH5006综合设计实验箱上进行,也可在高频电路实验台上进行。要求自行安装语音信号产生模块,其他 模块采用标准模块。 (3)在进入实验室进行实际操作后,提交课程设计报告。报告格式参照中原工学院课程设计指导手册。 4、分组安排: 实验操作分两组进行:一组进行电路安装、调试,一组进行设计电路原理图、软件仿真。然后再对调工作。 5、时间安排: (1)第1天:布置任务,讲解设计方法,进行预设计; (2)第2-3天:第一组进行电路安装、调试,第二组进行设计电路原理图、软件仿真。 (3)第3-4天:第二组进行电路安装、调试,第一组进行设计电路原理图、软件仿真。 (4)第5天:撰写设计报告。

目录 一、绪论 (4) 1.1设计目的 (4) 1.2设计内容 (4) 1.3设计要求 (4) 1.4设计流程 (5) 二、课程设计详细内容及步骤 (6) 2.1信号源产生模块 (6) 2.2载频信号产生模块 (9) 2.3AM调制器模块 (12) 2.4AM解调器模块 (14) 三、课程设计过程分析 (17) 3.1仿真分析 (17) 3.2焊接连线调试分析过程 (22) 3.3遇到问题,解决办法及心得体会 (24) 四、参考文献 (24) 附录A工具元件清单附录B仿真结果

信号发生器实验报告

信号发生器实验报告

一、 信号发生器广泛应用于电子工程、通信工程、自动控制、 遥测控制、测量仪器、仪表和计算机等技术领域。采用集成运放和分立元件相结合的方式,利用迟滞比较器电路产生方波信号,以及充分利用差分电路进行电路转换,从而设计出一个能变换出三角波、正弦波、方波的简易信号发生器。通过对电路分析,确定了元器件的参数,并利用protuse 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。该设计可产生低于10 Hz 的各波形输出,并已应用于实验操作。 信号发生器一般指能自动产生正弦波、方波、三角波电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。这里,采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于10 Hz 的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 原理框架图: 二、电源硬件电路图的设计 (1)单片机的选择 根据初步设计方案的分析,设计这样的一个简单的应用系统,可以选择带有EPROM 的单片机,应用程序直接存贮在片内,不用在外部扩展程序存储器,电路可以简化。ATMEL 公司生产的AT89C 系列单片机,AT89C 系列与C51系列的单片机相比有两大优势:第一,片内程序存储器采用闪存存储器,使程序的写入更加方便;第二,提供了更小尺寸的芯片,使整个硬件电路的体积更小。它以较小的体积、良好

的性能价格备受亲密。在家电产品、工业控制、计算机产品、医疗器械、汽车工业等应用方面成为用户降低成本的首选器件。

相关主题
文本预览
相关文档 最新文档