2PSK数字频带通信系统设计
- 格式:docx
- 大小:1.13 MB
- 文档页数:24
摘要数字调制是现代通信的重要方法,数字调制具有更好的抗干扰性能,更强的抗信道损耗,以及更好的安全性,数字调制技术是信息时代的重要成果。
二进制数字振幅键控(2ASK)是一种古老的调制方式,也是各种数字调制的基础。
本设计主要是利用MATLAB下的Simulink仿真平台,设计一个2ASK仿真系统,最后用示波器观察调制前后的信号波形,从而验证2ASK的可行性。
关键词:2ASK;Matlab;Simulink;数字调制;AbstractDigital modulation is an important method of modern communication, digital modulation has better anti-jamming performance, stronger anti channel loss, and better security, digital modulation technology is an important achievement in the information age. Binary digital amplitude keying (2ASK) is an ancient modulation method, and it is also the basis of a variety of digital modulation. This design is based on the use of Simulink MATLAB simulation platform, the design of a 2ASK simulation system, and finally use the oscilloscope to observe the signal waveform before and after the modulation, which verify the feasibility of 2ASK.Key Word: 2ASK;Matlab; Simulink;Digital modulation;目录1.背景知识 (3)1.1 数字频带传输系统 (3)1.2 二进制振幅键控(2ASK) (3)1.2.1 基本原理 (3)2.2ASK系统Simulink仿真设计 (5)2.1 2ASK相干解调设计框图 (5)2.2 应用Simulink进行2ASK相干解调仿真框图 (5)2.3 选用模块以及参数设定 (5)2.4 仿真结果及分析 (12)3.2ASK系统Matlab代码仿真设计 (13)3.1MATLAB编程实现仿真的构思 (13)3.2 仿真波形及分析 (13)4.心得体会 (16)参考文献 (16)附录:2ASK的Matlab仿真程序 (17)1.背景知识1.1 数字频带传输系统在数字基带传输系统中,为了使数字基带信号能够在信道中传输,要求信道应具有低通形式的传输特性。
通信原理课程设计报告书课题名称 数字频带传输系统——2PSK 信号及频谱姓 名学 号 院、系、部 电气系 专 业 电子信息工程指导教师刘鑫淼2012年 6 月13日※※※※※※※※※ ※※ ※※ ※※※※※※※※※※※2009级通信原理课程设计数字频带传输系统——2PSK信号及频谱一、设计目的掌握二进制数字调制——2PSK信号的调制和解调基本原理。
通过MATLAB 仿真,加深对2PSK系统的理解;锻炼运用所学知识,独立分析问题、解决问题的综合能力。
二、设计要求运用通信原理的基本理论和专业知识,对2PSK系统进行设计、仿真(仿真程序实现),要求用程序画出已调信号及其功率谱密度。
如:用MATLAB产生独立等概的二进制信源并画出其波形,画出2PSK信号波形及其功率谱密度。
三、系统原理相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。
在2PSK中,通常用初始相位0和π分别表示二进制“1”和“0”。
基带信号所对应的2PSK波形如图1所示。
因此,2PSK信号的时域表达式为:Ae=wctpsk)cos(2θ+其中,θ表示第n个符号的绝对相位:当发送“0”时,0θ;当发送“1”时,=θ=。
π2PSK的模拟调制框图如图2所示,键控法如图3所示。
2PSK的解调框图如图4所示。
四、程序设计clear ;close all;N=500;fs=200;Ts=1;t=0:1/fs:N*Ts;fm=1/2*Ts;fc=4;%用正弦波产生方波twopi_fc_t=2*pi*fm*t; %源信号A=1; %幅值为1phi=0; %相位偏移为0x = A * cos(twopi_fc_t + phi);% 方波am=1;x(x>0)=am;x(x<0)=-1;subplot(3,1,1);plot(t,x);axis([0 5 -2 2]);title('等概二进制信源');grid on;%加边框car=sin(2*pi*fc*t); %载波psk=x.*car; %载波调制(相乘器)subplot(3,1,2);plot(t,psk);axis([0 5 -2 2]);title('2PSK信号');grid on;subplot(3,1,3);plot(abs(fft(psk)));%产生2psk信号的频谱axis([0 100000 0 40000]);grid on;title('2psk信号频谱');xlabel('f');五、仿真结果00.51 1.52 2.53 3.54 4.55-22等概二进制信源00.51 1.52 2.53 3.54 4.55-222PSK 信号012345678910x 10424x 1042psk 信号频谱f六、设计总结本次课程设计运用MATLAB 产生独立等概的二进制信源并对其波形、其对应的2PSK 信号的波形、2PSK 信号的功率谱密度的模拟。
2PSK调制解调技术的设计与仿真2PSK(二进制相移键控)调制解调技术是一种基本的数字调制解调技术,常用于数字通信系统中。
本文将对2PSK调制解调技术的设计与仿真进行详细介绍。
首先,我们来了解一下2PSK调制解调技术的基本原理。
2PSK调制是通过改变载波的初始相位来传输数字信息。
其中,数字“0”表示载波相位为0度(或180度),数字“1”表示载波相位为90度(或-90度)。
在接收端,通过检测载波的相位来解调出数字信息。
接下来,我们开始进行2PSK调制的设计与仿真。
我们首先需要确定调制的参数,包括载波频率、数据传输速率和调制指数等。
以载波频率为f_c,数据传输速率为R_b,调制指数为m,调制信号可以表示为s(t) =A_c * cos(2πf_c*t + m*d(t)),其中d(t)为数字信息序列。
在MATLAB/Simulink中进行仿真时,我们需要设计一个基带信号发送器来生成调制信号。
基带信号生成的过程需要经历产生数字信息序列、映射为相应的载波相位以及平滑滤波等步骤。
首先,我们生成数字信息序列。
可以通过随机生成0和1的序列来模拟实际的数字信息。
生成的数字信息序列将成为基带信号的输入。
其次,我们需要将数字信息序列映射为相应的载波相位。
对于2PSK调制,可以将数字“0”映射为0度相位,将数字“1”映射为90度相位。
然后,我们进行平滑滤波处理。
平滑滤波可以去除调制信号的高频成分,使调制信号更加平滑。
常用的平滑滤波器包括低通滤波器和匹配滤波器。
在2PSK调制中,可以选择匹配滤波器,其频率特性与信号的眼图匹配,可以最大程度地提高信号的抗干扰性。
最后,我们将生成的调制信号送入信道进行传输。
在仿真中,可以通过添加高斯噪声来模拟实际的传输环境。
在接收端,我们需要设计一个相位解调器来解调接收到的信号。
相位解调器可以通过检测载波的相位来恢复出数字信息序列。
常用的相位解调方法包括包络检测法、移相检测法和差分解调法等。
2PSK调制与解调系统的仿真设计首先,我们需要了解2PSK调制与解调系统的基本原理。
2PSK(二进制相移键控)调制技术是一种利用相位来表示数字信息的调制技术。
在2PSK调制中,0和1分别用相位0°和180°表示。
调制器将数字信息转化为相位的变化,然后通过信道传输到接收端。
解调器在接收端将相位变化还原为数字信息。
2PSK调制与解调系统可以简单地分为两个部分:调制器和解调器。
在调制器中,我们可以使用相位锁定环(PLL)的方法实现2PSK调制。
PLL能够锁定输入信号的相位,然后产生相应的调制信号。
在2PSK调制中,我们可以使用正弦波信号作为基频信号,通过改变其初始相位来实现信号的相位调制。
在解调器中,我们可以使用相关器(correlator)的方法实现2PSK解调。
相关器能够检测接收信号与已知的参考信号之间的相关性,从而获取相位变化信息。
在2PSK解调中,我们可以使用相位为0°和180°的两个参考信号与接收信号进行相关运算,然后根据相关结果来判断接收信号的相位。
为了验证2PSK调制与解调系统的性能,我们可以进行仿真设计。
首先,我们需要确定系统所需的参数,包括载波频率、数据速率、信噪比等。
然后,我们使用Matlab或者其他仿真软件搭建2PSK调制与解调系统的模型,包括调制器和解调器。
在调制器模型中,我们生成数字信号,并将其转化为相位变化信号。
根据系统参数,我们生成相应频率的正弦波,并通过改变初始相位来实现调制。
然后,我们将调制信号通过信道传输到解调器。
在解调器模型中,我们接收到调制信号,并使用相关器来检测信号的相位变化。
根据相关结果,我们可以判断信号的相位,并将其转化为数字信息。
然后,我们可以将解调后的数字信息与原始数据进行比较,评估系统的性能。
进行仿真实验时,我们可以改变系统参数来研究其对系统性能的影响。
比如,我们可以改变信噪比,观察误码率的变化。
或者,我们可以改变数据速率,观察解调器的解调效果。
2PSK系统设计2PSK(双相移键控)是数字通信中常用的调制方式之一,也是一种简单且高效的调制方式。
本文将重点介绍2PSK系统的设计,并详细讨论其关键技术和参数设置。
2PSK系统是使用两个相位状态来表示数字信息的一种调制方式,其中一个相位状态代表0,另一个相位状态代表1、这种调制方式能够在单位时间内传输更多的信息,提高频谱利用率,并且在噪声环境下有较好的抗干扰性能。
1.确定传输速率:根据应用需求和信道条件,确定2PSK系统的传输速率。
传输速率决定了系统的每个符号代表的比特数,也决定了系统的带宽要求。
2.确定调制器:选择合适的调制器来实现2PSK调制。
常见的调制器包括平衡调制器、相干调制器等。
平衡调制器适用于无码间干扰的情况,而相干调制器适用于有码间干扰的情况。
3.确定解调器:选择合适的解调器来实现2PSK解调。
解调器的设计与调制器的选择密切相关。
常见的解调器包括平衡解调器、相干解调器等。
4.确定误码率目标:根据应用需求和信道条件,确定系统的误码率目标。
误码率是衡量系统性能的重要指标,需要根据具体情况进行设置,以保证数据的可靠性。
5.信道编码:对待传输的数据进行信道编码,以增强系统的抗噪声和抗干扰能力。
常见的信道编码技术包括卷积码、纠错码等。
6.设置调制参数:根据应用需求和信道条件,设置调制参数。
调制参数包括载波频率、相位状态、调制指数等。
正确设置调制参数能够提高系统的性能和抗干扰能力。
7.进行仿真和优化:使用仿真工具进行仿真和优化,以验证系统设计的正确性和性能达到预期。
仿真过程中可以通过改变参数和算法等,来寻求更好的性能。
8.确定接收机结构:根据系统设计和性能要求,确定接收机的结构。
接收机中包括解调器、信号处理器、信号解码器等。
接收机的设计直接影响系统的性能和误码率。
9.进行实验验证:根据系统设计和仿真结果,进行实验验证。
通过实验可以验证系统的可行性和性能,对系统进行调整和优化。
10.性能评估和改进:根据实验结果进行性能评估,对系统进行改进。
2PSK数字频带通信系统的设计与实现摘要:数字通信系统分为数字频带传输通信系统、数字基带传输通信系统、模拟信号数字化传输通信系统。
本次课程设计主要是利用matlab中的simulink模块对频带传输系统进行仿真。
在设计频带传输系统时,通过对原理的分析和实现过程中的实际操作问题的解决方便,采用的方案是用2PSK 的调制方式,首先对信号进行PSK调制,并把运行仿真结果输入到示波器,根据示波器结果分析设计的系统性能。
再通过加入高斯白噪声传输信道,接着在接收端对信号进行PSK解调,采用相干解调法,最后把输出的信号和输入的信号进行比较。
通过最后仿真结果可知,在仿真过程中存在着一定的误码,该信号频带传输通信系统已初步实现了设计指标并可用于解决一些实际性的问题。
关键词:数字频带;2PSK调制;高斯白噪声;Simulink;目录第1章绪论 (1)1.1 背景 (1)1.2 选题的目的和意义 (1)1.3 本课程设计的主要内容 (2)第2章2PSK信号调制与解调的基本原理 (3)2.1 总体思想 (3)2.2 2PSK信号的产生 (3)2.3 2PSK信号的解调原理及抗噪声性能 (5)2.3.1 2PSK信号的解调原理 (5)2.3.2 2PSK信号相干解调误码率的计算 (6)第3章 simulink的介绍 (9)3.1 Simulink相关内容 (9)3.2 Simulink仿真原理 (9)3.3 Simulink仿真过程 (9)第4章 2PSK数字调制与解调系统的设计 (11)4.1整体电路设计 (11)4.2 2PSK信号调制模块设计 (11)4.3 2PSK信号解调模块设计 (13)4.4 误码率计算模块设计 (15)第5章仿真实现 (18)5.1 matlab仿真结果分析 (18)5.2误码率分析 (20)5.3仿真过程出现的问题 (20)第6章总结 (21)参考文献 (22)第1章绪论1、1 背景数字基带信号是低通型信号,其功率谱集中在零频附近,它可以直接在低通型信道中传输。
摘要数字信号的传输方式分为基带传输和带通传输。
然而,实际中的大多数信道(如无线信道)因具有带通特性而不能直接传送系带信号,这是因为数字基带信号往往含有丰富的低频分量。
为了使数字信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。
这种用数字基带信号控制载波,把数字基带信号变换为数字带通信号的过程称为数字调制。
在接收端通过解调器把贷通信号还原成数字基带信号的过程称为数字解调。
通常把调制和解调过程的数字传输系统叫做数字带通传输系统。
一般来说,数字调制与模拟调制的原理基本相同,但是数字信号有离散取值的特点。
因此数字调制技术有两种方法:①利用模拟调制的方法去实现数字式调制,即把数字调制看成是模拟调制的一个特例,把数字基带信号当做模拟信号的特殊情况处理;②利用数字信号的离散取值特点通过开关键控载波,从而实现数字调制。
这种方法通常称为键控法,对载波的振幅、频率和相位进行键控,即可获得ASK、FSK、PSk三种基本数字调制方式。
本次课程设计主要是运用MATLAB集成环境下的Simulink仿真平台设计一个2PSK数字信号调制解调系统。
设计采用的是键控法进行调制。
关键字:Matlab Simulink 2P目录一、课程设计目的 (3)二、课程设计时间安排 (3)三、课程设计及要求 (3)1.基本工作原理 (3)1)数字通信系统 (3)2)调制方法:键控法 (4)3)解调方法:相干解调法 (4)2、设计系统 (4)1)Simulink仿真框图 (4)2)工作原理 (5)3)设定参数 (6)3 .MATLAB仿真 (11)1)波形仿真图 (11)4)分析基带信号和已调信号的功率谱密度 (14)5)误码率分析 (15)四、课程设计心得体会 (18)五、参考文献 (19)一、课程设计目的通过课程设计,巩固已经学过的有关数字调制系统的知识,加深对知识的理解和应用,学会应用Matlab Simulink工具对通信系统进行仿真。
二进制数字频带传输系统设计——2PSK系统1技术要求设计一个2PSK数字调制系统,要求:(1)设计出规定的数字通信系统的结构;(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);(3)用Matlab或SystemView 实现该数字通信系统;(4)观察仿真并进行波形分析;(5)系统的性能评价。
2 基本原理二进制移相键控(2psk)方式是受键控的载波相位按基带脉冲序列的规律而改变的一种数字调制方式。
设计中两个载波相位相差∏,通常规定0相位载波和∏相位载波分别代表传1和传0,这种以载波的不同相位直接去表示相应的数字信息的移相键控,通常称为S(t) 1 0 0 1 0 1 1Ф∏ 0 0 ∏ 0 ∏∏图1 2psk基带信号与调制信号波形绝对移相方式。
图1为2psk基带信号与调制后的波形。
2psk信号属于DSB信号, 只有一种解调方法,不再能采用包络检测的方法,只能进行相干解调。
3 建立模型描述3.1用Systemview实现2psk调制解调系统3.1.1用Systemview实现2PSK的调制2PSK的调制方法:模拟法和数字键控法。
模拟法得到的调制信号是由基带信号与载波相乘后得到得;数字键控法是由信源控制单刀双掷开关来选择正弦载波或经∏相位变化的正弦载波,当输入基带信号为“0”时选择正弦载波,当输入基带信号为“1”时选择经∏相位变化的正弦载波。
3.1.2 用Systemview实现2PSK的解调它的解调,不再能采用包络检测的方法,只能进行相干解调,其原理框图如图3.2。
图3.2 2PSK的解调原理框图3.1.3 2PSK的功率谱图3.3 2PSK功率谱图2PSK信号的功率谱分析:当双极性基带信号等概出现时,2PSK信号的功率谱仅由连续谱组成。
否则,2PSK信号的功率谱由连续谱和离散谱两部分组成。
连续谱取决于基带信号经线性调制后的双边带谱,而离散谱则取决于载波分量。
2psk的带宽B2PSK=2B S=2f b。
1.PSK调制电路的建模library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_CPSK isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_CPSK;architecture behav of PL_CPSK is signal q:std_logic_vector(1 downto 0); signal f1,f2:std_logic;beginprocess(clk)beginif clk'event and clk='1' thenif start='0' then q<="00";elsif q<="01" then f1<='1';f2<='0';q<=q+1; elsif q="11" then f1<='0';f2<='1';q<="00"; else f1<='0';f2<='1';q<=q+1;end if;end if;end process;process(clk,x)beginif clk'event and clk='1' thenif q(0)='1' thenif x='1' then y<=f1;else y<=f2;end if;end if;end if;end process;end behav;2.CPSK解调library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_CPSK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_CPSK2;architecture behav of PL_CPSK2 is signal q:integer range 0 to 3; begin process(clk)beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=q+1;if x='1' then y<='1';else y<='0';end if;elsif q=3 then q<=0;else q<=q+1;end if;end if;end process;end behav;3.DPSK调制绝对码到相对码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_DPSK isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_DPSK;architecture behav of PL_DPSK is signal q:integer range 0 to 3; signal xx:std_logic;beginprocess(clk,x)beginif clk'event and clk='1' thenif start='0' then q<=0;xx<='0';elsif q=0 then q<=1;xx<=xx xor x;y<=xx xor x;elsif q=3 then q<=0;else q<=q+1;end if;end if;end process;end behav;4.DPSK解调相对码到绝对码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_DPSK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_DPSK2;architecture behav of PL_DPSK2 is signal q:integer range 0 to 3; signal xx:std_logic;beginprocess(clk,x)beginif clk'event and clk='1' thenif start='0' then q<=0;elsif q=0 then q<=1;elsif q=3 then q<=0;y<=xx xor x;xx<=x;else q<=q+1;end if;end if;end process;end behav;。
2PSK系统的设计和仿真2PSK系统(2相位移键控)是数字通信系统中常用的一种调制方式。
在该系统中,将二进制数据序列转换为一系列的正弦波信号,并通过调整正弦波的相位来表示二进制数据位的值。
本文将介绍2PSK系统的设计和仿真过程。
首先,我们需要确定2PSK系统的基本参数,包括载波频率、比特率、发送功率等。
然后,通过Matlab或其他仿真软件来构建2PSK系统的模型。
在2PSK系统中,二进制数据序列通过脉冲调制形成基带信号。
可以选择使用矩形脉冲来进行调制,也可以使用其他形状的脉冲。
在这里,我们将使用矩形脉冲进行演示。
接下来,生成载波信号。
载波频率的选择可以根据具体需求来确定,一般选择一个适当的频率,例如10MHz。
然后,对每个二进制数据位进行调制,将1表示为正弦波,0表示为负弦波。
将这些信号叠加在一起得到最终的调制信号。
在仿真时,我们可以加入噪声来模拟实际通信环境中的信道干扰。
可以选择高斯白噪声或其他类型的噪声。
噪声的强度可以通过信噪比(SNR)来调节。
SNR越高,噪声越小。
最后,接收端可以通过判决电路将接收到的信号判定为1或0。
在判决电路中,可以设置一个阈值,收到大于阈值的信号则判定为1,收到小于阈值的信号则判定为0。
通过对判决结果与发送的二进制数据进行比较,可以计算出误码率。
通过改变不同的参数,例如比特率、载波频率、SNR等,可以对2PSK 系统进行性能分析。
可以绘制误码率与SNR之间的曲线,研究不同参数对系统性能的影响。
通过以上过程,我们可以实现2PSK系统的仿真。
在仿真中,还可以进一步探究其他扩展内容,例如多路径衰落信道、频率选择性信道等。
通过不断改进模型和参数,可以提高2PSK系统的性能,并且对比其他调制方式,评估2PSK系统在不同场景下的适用性。
总结起来,2PSK系统的设计和仿真是一个多参数的过程,需要根据具体需求来确定系统的基本参数和模型。
通过逐步搭建模型、调试参数,并加入噪声来模拟实际场景,可以完成对2PSK系统性能的仿真分析。
2PSK数字频带通信系统的设计与实现摘要:数字通信系统分为数字频带传输通信系统、数字基带传输通信系统、模拟信号数字化传输通信系统。
本次课程设计主要是利用matlab中的simulink模块对频带传输系统进行仿真。
在设计频带传输系统时,通过对原理的分析和实现过程中的实际操作问题的解决方便,采用的方案是用2PSK 的调制方式,首先对信号进行PSK调制,并把运行仿真结果输入到示波器,根据示波器结果分析设计的系统性能。
再通过加入高斯白噪声传输信道,接着在接收端对信号进行PSK解调,采用相干解调法,最后把输出的信号和输入的信号进行比较。
通过最后仿真结果可知,在仿真过程中存在着一定的误码,该信号频带传输通信系统已初步实现了设计指标并可用于解决一些实际性的问题。
关键词:数字频带;2PSK调制;高斯白噪声;Simulink;目录第1章绪论 (1)1.1 背景 (1)1.2 选题的目的和意义 (1)1.3 本课程设计的主要内容 (2)第2章2PSK信号调制与解调的基本原理 (3)2.1 总体思想 (3)2.2 2PSK信号的产生 (3)2.3 2PSK信号的解调原理及抗噪声性能 (5)2.3.1 2PSK信号的解调原理 (5)2.3.2 2PSK信号相干解调误码率的计算 (6)第3章 simulink的介绍 (9)3.1 Simulink相关内容 (9)3.2 Simulink仿真原理 (9)3.3 Simulink仿真过程 (9)第4章 2PSK数字调制与解调系统的设计 (11)4.1整体电路设计 (11)4.2 2PSK信号调制模块设计 (11)4.3 2PSK信号解调模块设计 (13)4.4 误码率计算模块设计 (15)第5章仿真实现 (18)5.1 matlab仿真结果分析 (18)5.2误码率分析 (20)5.3仿真过程出现的问题 (20)第6章总结 (21)参考文献 (22)第1章绪论1、1 背景数字基带信号是低通型信号,其功率谱集中在零频附近,它可以直接在低通型信道中传输。
但是,实际信道大多是带通型的,数字基带信号无法直接通过。
因此,在发送端需要将其频谱搬移到通信信道的通带范围内,以便信号传输,频谱的搬移过程称为数字调制,数字基带信号称为调制信号,频谱搬移后的信号称为已调信号。
相应地,频谱的反搬移过程称为数字解调。
调制和解调的基本原理是利用信号与系统的频域分析和傅里叶变换的基本性质,将信号的频谱进行搬移,以使信号与信道的特性相匹配,从而完成信号的传输。
在现代数字通信系统中,频带传输系统的应用最为突出。
将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。
通常选择正弦波信号为载波。
用载波信号参量的某些离散状态来表征所传输的信息,在接收端也只要对载波信号的调制参量有限个离散值进行判决,以便恢复出原始信号。
图1-1 数字频带传输系统框图1.2 选题的目的和意义本次课程设计选择的是利用matlab中的simulink搭建2PSK数字调制与解调系统,并对调制与解调前后的时频域进行分析。
原因是在传输信号里,2PSK信号与2ASK及2FSK信号相比,具有较好的误码率性能。
,。
本课程设计要针对数字频带传输的性能来进行研究,在分析数字频带传输基本原理的基础上,理论分析其传输系统的基本性能。
通过使用matlab软件中的simulink仿真模块得到比较符合实际情况的高斯噪声下PSK传输的波形和功率谱图,理论分析其传输性能。
1.3 本课程设计的主要内容2PSK数字频带通信系统的设计与建模,分析题目,设计系统框图,设计仿真模块,调试,并完成设计报告。
具体要求有以下五点:(1)设计出规定的数字通信系统的结构,包括信源,调制,发送滤波器模块,信道,接受滤波器模块,解调以及信宿;(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);(3)用Matlab实现该数字通信系统;(4)观察仿真并进行波形分析;(5)系统的性能评价。
第2章2PSK信号调制与解调的基本原理2.1 总体思想二进制数字相位调制就是用二进制数字信息控制正弦载波的相位,使正弦载波的相位随二进制数字信息的变化而变化。
由于二进制数字信息控制载波相位的方法不同,二进制数字相位调制又分为二进制绝对相位调制(2PSK)和二进制相对相位调制(2DPSK)两种。
这次的课程设计则主要采用二进制绝对相位调制(2PSK)。
2PSK调制与解调原理框图如图2-1所示。
位定时图2-1 2PSK调制与解调原理框图2.2 2PSK信号的产生二进制绝对调相是用数字信息直接控制载波的相位。
例如,当数字信息为“1”时,使载波反相(即发生180°变化);当数字信息为“0”时,载波相位不变。
图2-2为2PSK信号波形图(为作图方便,在一个码元周期内画两个周期的载波)。
图2-2 2PSK信号波形图图2-2中(a)为数字信息,(b)为载波,(c)为2PSK波形,(d)双极性数字基带信号。
从图中可以看出,2PSK 信号可以看成是双极性基带信号乘以载波而产生的,即t f a t s t s c 2PSK π2cos )()('= (2-1)图2-3 2PSK 调制器图2-3中,电平变换器的作用是将输入的数字信息变换成双极性全占空数字基带信号s′(t)。
但需要注意的是,相同的数字信息可变换成两种极性相反的全占空数字基带信号,如图2-4所示。
一个调制器中只能采用其中的一种变换,至于采用哪一种变换,完全由调制规则决定。
如采用“1”变“0”不变的调制规则,则电平变换器将数字信息“1”变换成一个负的全占空矩形脉冲,将数字信息“0”变换成一个正的全占空矩形脉冲,如图2-4(a)波形所示。
图2-4(b)波形对应的调制规则是“0”变“1”不变。
图2-4 电平变换器输入/输出波形由式(2-1)及图2-3可知,双极性全占空数字基带信号s′(t)乘以A cos2πfct 产生2PSK 信号,所以,根据频谱变换原理,2PSK 信号的功率谱为)]()([41)(c c 2PSK f f P f f P f P s s ++-=''其中,)(f P s '为双极性全占空矩形脉冲序列s′(t)的功率谱。
功率谱)(f P s '及P2PSK(f)的示意图如图2-5所示。
2PSK 信号的功率谱与2ASK 信号的功率谱形状相同,只是少了一个离散的载波分量,这是由于双极性数字基带信号在“1”、“0”等概时直流分量等于零的缘故。
图2-5 2PSK信号的功率谱由图2-5可知,2PSK信号的带宽为B2PSK=2fs即2PSK信号的带宽是数字信息码元速率值的两倍。
2.3 2PSK信号的解调原理及抗噪声性能2.3.1 2PSK信号的解调原理由于2PSK信号信息携带在2PSK信号与载波的相位差上,因此2PSK信号的解调通常采用相干解调法,解调原理框图如图2.2所示。
首先是2PSK信号通过一个带通滤波器,然后与本地载波相乘,再通过低通滤波器,经过抽样判决器来恢复出基带信号。
图2-6 2PSK信号的相干解调器2PSK信号解调过程中的波形如图2-7所示。
为对比方便,图中画出了原调制信息s(t)。
图2-7 2PSK相干解调器各点波形示意图图2-7中,(b)是收到的2PSK波形;(c)是本地载波提取电路提取的载波信号,此载波信号与调制用的载波信号同频同相。
(d)是接收2PSK信号(b)与本地载波(c)相乘得到的波形示意图,此波形经低通滤波器滤波后得低通信号(e),取样判决器在位定时信号(f)的控制下对(e)波形取样,再与门限进行比较,作出相应的判决,得到恢复的信息(g)。
需要强调的是:判决规则应与调制规则相一致。
如当调制规则采用“1”变“0”不变时,判决规则相应为:当取样值大于门限Vd时判为“0”,当取样值小于门限Vd时判为“1”。
当“1”、“0”等概时,判决门限Vd=0。
反之,当调制规则采用“0”变“1”不变时,判决规则应为:当取样值大于门限Vd时判为“1”,当取样值小于门限Vd 时判为“0”。
以上说明图2-6所示的2PSK解调器在无噪声情况下能对2PSK信号正确解调。
下面讨论此2PSK 解调器在噪声干扰下的误码率。
2.3.2 2PSK信号相干解调误码率的计算误码率的基本分析方法是:(1) 求出发“1”及发“0”时低通滤波器输出信号的数学表达式。
(2) 求出取样值的概率密度函数。
(3) 求出解调器的平均误码率公式。
设调制采用“1”变“0”不变规则。
当发端发“1”时,收到的2PSK信号为s2PSK(t)=-acos2πfct带通滤波器的输出是信号加窄带噪声t f t n t f t n a t n t f a c Q c I i c πππ2sin )(2cos )]([)(2cos -+-=+-上式与本地载波cos2πfct 相乘,得t f t n t f t n a t n a tf t f t n t f t n a tf t n t f a c Q c I I c c Q c 2I c i c π2sin )(21 π4cos )]([21)]([21π2cos π2sin )(π2cos )]([π2cos )](π2cos [ -+-++-=-+-=+- (2-2)式(2-2)所示信号经低通滤波后得x(t)=-a+nI(t)显然,x(t)的瞬时值是均值为-a 、方差为s 02PSK 022f n B n n ==σ的高斯随机变量。
所以,x(t)的取样值的概率密度函数为 222)(1e π21)(n a x n x f σσ+-= (2-3)同理,发端发“0”时,收到的2PSK 信号为tf a t s c π2cos )(2PSK = 带通滤波器的输出是信号加窄带噪声t f t n t f t n a t n t f a c Q c I i c π2sin )(π2cos )]([)(π2cos -+=+上式与本地载波cos2πfct 相乘,得t f t n t f t n a t n a t f t f t n t f t n a tf t n t f a c Q c I I c c Q c 2I c i c π2sin )(21 π4cos )]([21)]([21π2cos π2sin )(π2cos )]([π2cos )](π2cos [ -+++=-+=+(2-4)式(2-4)所示信号经低通滤波后得(不计系数1/2)x(t)=a+nI(t)x(t)的瞬时值是均值为a 、方差为s 02PSK 022f n B n n ==σ的高斯随机变量。
所以,x(t)的取样值的概率密度函数为222)(eπ21)(naxnxfσσ--=(2-5)式(2-3)及式(2-5)的概率密度函数曲线如图2-8所示。