2013年版数字系统设计(双语)实验指导书(1)
- 格式:doc
- 大小:1.33 MB
- 文档页数:17
Experiment 2 Designing Number Comparer实验目的: 熟悉QuartusII 的开发环境熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计实验内容:数值比较器设计实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template )在QuartusII 开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:比较器特性表比较器电路示意图实验报告内容要求:(1) 实验目的;(2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。
NumberComparerA(3..0) B(3..0)In_s In_l In_eYl YeYs YExperiment 3 Designing 8 to1-Multiplxer实验目的:熟悉QuartusII的开发环境熟练掌握编程开发流程学习VHDL的基本语法学习VHDL编程设计实验内容:八选一数据选择器设计。
实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template)在QuartusII开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:电路功能表及其电路外部符号如下:电路功能表实验报告内容要求:(1)实验目的;(2)实验内容;(3)实验要求;(4)实验原理;(5)程序编写;(6)程序编译(首先选择器件具体型号);(7)功能仿真和芯片时序仿真;(8)芯片引脚设定;(9)适配下载结果及结论。
数字系统设计实验指导书Experiments Handbook of Digital System Design实验一:8位简单算逻运算单元电路设计8 bit Simple ALU实验学时:4学时实验类型:设计型一、目的与任务要求学生在理论课学习的基础上,掌握采用Verilog HDL、基于自顶向下的模块化设计方法;掌握在Quartus II EDA平台进行设计输入、编译、仿真的全过程。
二、内容与要求1. 内容在Quartus II EDA平台上,运用基于模块化的设计方法,采用Verilog HDL,设计一个8位简单ALU及其数据通道,功能如表,分别根据操作码实现加、减、逻辑与、或等八种运算,数据通道在读写命令控制下,完成从寄存器读出操作数及写入运算结果。
要求:其输入操作数为实验学生学号末尾开始尽量非零的四位数对应的8421码组合(例如,学号11070023两个操作数分别为32和71,对应的8421码00110010,2.要求预习实验内容,编写Verilog HDL程序。
课上,进行编程输入、编译、时序仿真。
课后写出实验报告(实验名称、实验内容、顶层框图、V erilog HDL程序、仿真波形、资源分配、实验小结)三、考核与成绩评定本实验为学生必做实验,本实验分值为20 分考核内容:预习25%,实验过程50%,实验报告25%。
成绩评定方法:根据考核内容进行综合评定。
四、实验说明本实验的重点在于自顶向下的模块化设计的概念及设计方法。
五、参考资料ALU及数据通道参考教材《VerilogHDL高级数字系统设计》P478和P208实验三、基于循环算法的数字签名分析电路的验证Verification of Repetitive Algorithm based Digital SignatureAnalysis Circuit实验学时:2 实验类型:验证型一、目的与任务:要求学生在理论课学习的基础上,分析基于CRC码进行数字签名验证功能需求,学习用Verilog HDL中循环算法进行行为建模的功能设计,在EDA平台-QuartusII下,完成设计的输入及编译综合以及仿真验证。
南京邮电大学实验报告实验名称:离散时间信号与系统的时、频域表示离散傅立叶变换和z变换数字滤波器的频域分析和实现数字滤波器的设计课程名称数字信号处理A(双语)班级学号____姓名________开课时间 2013 /2014 学年,第一学期实验名称:离散时间信号与系统的时、频域表示实验目的和任务:熟悉Matlab基本命令,理解和掌握离散时间信号与系统的时、频域表示及简单应用。
在Matlab环境中,按照要求产生序列,对序列进行基本运算;对简单离散时间系统进行仿真,计算线性时不变(LTI)系统的冲激响应和卷积输出;计算和观察序列的离散时间傅立叶变换(DTFT)幅度谱和相位谱。
实验内容:基本序列产生和运算:Q1.1~1.3,Q1.23,Q1.30~1.33离散时间系统仿真:Q2.1~2.3LTI系统:Q2.19,Q2.21,Q2.28DTFT:Q3.1,Q3.2,Q3.4实验过程与结果分析:Q1.1运行P1_1产生单位样本序列u[n]的程序与显示的波形如下:clf;n=-10:20;u=[zeros(1,10) 1 zeros(1,20)];stem(n,u);xlabel('时间序号n');ylabel('振幅');title('单位样本序列');axis([-10 20 0 1.2]);Q1.2clf命令的作用是- 清除图形窗口上的图形axis命令的作用是- 设置坐标轴的范围和显示方式title命令的作用是- 给图形加名字xlabel命令的作用是- 添加x坐标标注ylabel命令的作用是- 添加y坐标标注Q1.3产生有延时11个样本ud[n]的程序及其运行结果如下:clf;n=-10:20;u=[zeros(1,21) 1 zeros(1,9)];stem(n,u);xlabel('时间序号n');ylabel('振幅');title('单位样本序列');axis([-10 20 0 1.2]);Q1.23 修改上述程序,以长生长度为50、频率为0.08、振幅为2.5、相移为90度的一个正弦序列并显示它。
《信号与信息处理综合实验(FPGA部分)》实验指导书实验一FPGA使用入门一、实验目的(1)掌握ISE 13.2集成开发环境和Modelsim软件的使用方法;(2)熟悉S6 Card实验板的使用方法。
(3)掌握使用Verilog HDL语言实现常用组合逻辑和时序逻辑的方法。
(4)了解Chipscope的功能与使用方法二、实验内容(1)熟悉S6 CARD实验板;(2)熟悉ISE集成开发环境;(3)3比特加法器仿真与上板实验(4)m序列产生器仿真与在板Chipscope调试三、实验要求按下面的说明逐步操作,实验结束后需经教师或助教验收,并将工作目录压缩后重新命名,命名规则为“组号_lab1.zip(rar)”,并分别撰写实验报告,上传到ftp上的”FPGA学生作业/实验一”文件夹。
四、实验过程说明(一)熟悉S6 CARD实验板1 S6 CARD开发板整体架构板卡体积小巧,面积与身份证大小相同,故命名为S6 CARD板卡,其实物图如图1所示。
图1 S6 CARD板卡实物图S6 CARD开发板以Spartan-6系列的XC6SLX9-TQ144芯片为核心,供电、下载与调试都通过板卡自身的USB接口完成,扩展了LED、GPIO、UART以及USB-JTAG电路,结构如图2所示。
此外,S6 CARD通过USB线完成板卡供电和调试,便于使用。
图2 S6 CARD板卡结构图其中,Flash芯片使用了32Mb的SPI Flash M25P32,容量大,也适合于嵌入式系统开发。
系统时钟由外部晶振给入,频率为50MHz。
2 S6 CARD开发板驱动电路1、LED驱动电路LED是最基本的电路组件,给高电平就发光,且发光的程序和驱动电流有关。
板卡的LED 电路如图3所示。
图3 S6 CARD LED电路2、按键和拨码开关电路LED、按键以及拨码开关本质上属于同一类设备,LED为输出设备,而按键和拨码开关属于输入设备。
按键为瞬时输入设备,仅在按下时维持一个固定输入,松开则返回到固定的逻辑相反状态。
北京信息科技大学自编实验讲义神经网络实验指导书许晓飞陈雯柏编著自动化学院智能科学与技术系2013年1月<<BP神经网络>>实验指导实验目的:(1)熟悉Matlab/Simulink的使用.(2)掌握BP神经网络的基本原理和基本的设计步骤.(3)了解BP神经网络在实际中的应用.(4)针对简单的实际系统, 能够建立BP神经网络控制模型.实验原理:1.前馈型人工神经网络前馈型人工神经网络是整个神经网络体系中最常见的一种,其结构模型如图2所示。
网络结构包含输入层、隐层(可能是多层)和输出层,它的连接方式是同层之间不相连接,相邻层之间单元为全连接型。
这种网络没有反馈存在,实际运行是单向的,学习方式是一种监督式学习。
前馈型神经网络具有很强的非线性映射能力,寻找其映射是靠学习实践的,只要学习数据足够完备,就能够描述任意未知的复杂系统。
因此前馈神经网络为非线性系统的建模和控制提供了有力的工具。
输入层隐层输出层图1 前馈型神经网络结构2.BP算法原理BP(Back Propagation)神经网络是一种利用误差反向传播训练算法的前馈型网络,BP 学习算法实质是求取网络总误差函数的最小值问题[2]。
这种算法采用非线性规划中的最速下降方法,按误差函数的负梯度方向修改权系数,它是梯度下降法在多层前馈网络中的应用。
具体学习算法包括两大过程,其一是输入信号的正向传播过程,其二是输出误差信号的反向传播过程。
1.正向传播输入的样本从输入层经过隐层单元一层一层进行处理,通过所有的隐层之后,则传向输出层;在逐层处理的过程中,每一层神经元的状态只对下一层神经元的状态产生影响。
在输出层把现行输出和期望输出进行比较,如果现行输出不等于期望输出,则进入反向传播过程。
2.反向传播反向传播时,把误差信号按原来正向传播的通路反向传回,并对每个隐层的各个神经元的权系数进行修改,以望误差信号趋向最小。
网络各层的权值改变量,则由传播到该层的误差大小来决定。
数字系统设计实验指导书编者:陈汉林电子信息工程系2007 年 7月目录第一部分《数字系统设计》实验说明 (2)一、考核方式及成绩评定参考标准 (2)二、实验报告格式要求 (2)三、进度安排 (3)四、注意事项 (3)第二部分《数字系统设计》实验项目 (4)实验一出租车计费系统设计 (4)实验二频率计设计 (5)第三部分GEXIN EDAPRO/240H实验仪 (8)第四部分KH-310智能型可编程数字开发系统芯片IO对照表 (21)1第一部分《数字系统设计》实验说明一、考核方式及成绩评定参考标准每个实验设计结束后要求提交实验报告,并通过老师验收。
每次实验成绩由实验报告和验收成绩共同决定。
评分标准如下:1.根据实验拟定设计方案,简述系统工作原理,画出系统工作原理框图;(15分)2.根据技术指标要求,完成各单元电路的设计;(15分)3.总体程序框架合理、结构清晰明了;(10分)4.系统功能实现正确,仿真结果正确,在实验系统上运行正确;(30分)5.有创新点,扩展功能的实现;(10分)6.实验报告格式正确,内容完整,描述清楚,画图工整。
(20分)二、实验报告格式要求《数字系统设计》实验报告采用统一格式,包括字体、图文要求,如果格式不符,则根据情况给予扣分。
书写格式:1.报告用A4纸打印。
2.报告最多不超过10页。
3.页面设置:上2,下2,左2,右2,页眉1.5,页脚1.75。
4.采用单倍行距,标准字符间距,西文、数字等符号均采用Times New Roman 体字。
5.标题用五号黑体字,正文用五号宋体字。
6.每个表格应有自己的表序和表题,表序和表题应写在表格上方正中,表序后空一格书写表题。
表序为:表1、表2……,表格内容为小5号宋体字,表序和表题为小5号黑体字。
7.插图必须精心制作,不得徒手画,照片图应清晰,线条要匀称,图面要整洁美观。
每幅插图应有图序和图题,图序和图题应放在图位下方居中处。
图序为:图1、图2…….,图序和图题用小5号黑体字。
数字系统实验指导书实验一开发环境使用训练实验二基本语法使用训练实验三组合、时序逻辑电路设计实验四有限状态机设计实验一开发环境使用训练一、实验目的1.掌握QuartusII使用方法;2.掌握原理图输入设计方法。
二、实验仪器1.微型计算机一台2.QuartusII 软件三、实验原理1.运行QuartusII软件,原理图输入,进行设计。
图1 QuartusII软件界面2. 3-8译码器原理图图1 3-8译码器原理图3. 新建VHDL文件参考程序:library ieee;use ieee.std_logic_1164.all;entity decoder isPort ( aa: in std_logic_vector(2 downto 0);qq: out std_logic_vector(7 downto 0));end decoder;architecture one of decoder isbeginprocess(aa)begincase aa iswhen “000” => qq<=”00000001”;when “001” => qq<=”00000010”;when “010” => qq<=”00000100”;when “011” => qq<=”00001000”;when “100” => qq<=”00010000”;when “101” => qq<=”00100000”;when “110” => qq<=”01000000”;when “111” => qq<=”10000000”;end case;end process;end one;四、实验内容及步骤步骤1:打开QuartusII软件;步骤2:创建工程;步骤3:打开原理图编辑器;(步骤3:新建VHDL文件)步骤4:原理图编辑;(步骤4:编辑VHDL文件)步骤5:引脚命名;(无)步骤6:保存原理图,并将设计文件加入工程;(步骤5:保存VHDL文件,将设计文件加入工程。
数字系统设计实验报告1. 引言数字系统设计是计算机科学与工程中的重要领域之一。
本实验旨在通过设计一个基本的数字系统,深入理解数字系统的原理和设计过程。
本文将按照以下步骤详细介绍实验的设计和实施。
2. 实验目标本实验旨在设计一个简单的数字系统,包括输入、处理和输出三个模块。
具体目标如下: - 设计一个输入模块,用于接收用户的输入数据。
- 设计一个处理模块,对输入数据进行特定的处理。
- 设计一个输出模块,将处理结果展示给用户。
3. 实验设计3.1 输入模块设计输入模块主要用于接收用户的输入数据,并将其传递给处理模块进行处理。
在本实验中,我们选择使用键盘作为输入设备。
具体设计步骤如下: 1. 初始化输入设备,确保能够正确接收用户输入。
2. 设计输入缓冲区,用于存储用户输入的数据。
3. 实现输入函数,将用户输入的数据存储到输入缓冲区中。
3.2 处理模块设计处理模块是数字系统的核心部分,负责对输入数据进行特定的处理。
在本实验中,我们选择设计一个简单的加法器作为处理模块。
具体设计步骤如下: 1. 定义输入数据的格式和表示方法。
2. 实现加法器的逻辑电路,可以通过使用逻辑门和触发器等基本组件来完成。
3. 设计加法器的控制电路,用于控制加法器的运算过程。
4. 验证加法器的正确性,可以通过给定一些输入数据进行测试。
3.3 输出模块设计输出模块用于将处理结果展示给用户。
在本实验中,我们选择使用显示器作为输出设备。
具体设计步骤如下: 1. 初始化输出设备,确保能够正确显示处理结果。
2. 设计输出缓冲区,用于存储待显示的数据。
3. 实现输出函数,将输出数据从输出缓冲区中传输到显示器上。
4. 实验实施4.1 输入模块实施根据3.1节中的设计步骤,我们首先初始化输入设备,然后设计输入缓冲区,并实现相应的输入函数。
4.2 处理模块实施根据3.2节中的设计步骤,我们定义输入数据的格式和表示方法,然后实现加法器的逻辑电路和控制电路。
数字系统的设计与实验学院:专业:班级:学号:姓名指导老师2013 年12月 10 日实验一原码反码发生器一实验目的:1、掌握组合逻辑电路的基本设计方法。
2、学习波形仿真的方法。
3、加深对最简单的二进制原码、反码的理解,灵活运用基本的逻辑门。
二实验内容1、设计的电路应具备以下功能:A.包含如下端口:一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。
B. 选择信号的逻辑状态为0时输出原码;逻辑状态为1时输出反码。
2、完成电路设计。
3、对设计的正确性进行验证。
三实验要求1、列出所要实现的功能的真值表。
2、画出电路的逻辑图。
3、编写用VHDL语言描述的源程序。
library ieee;use shiyan1 isport (cin : in std_logic_vector(7 downto 0);fin : in std_logic;cout: out std_logic_vector(7 downto 0));end shiyan1;architecture behave of shiyan1 isbeginprocess(fin)begincase fin iswhen '1' => cout <= not cin;when '0' => cout <= cin;when others => null;end case;end process;end behave;4、在MAX 软件平台上完成编译和功能仿真。
一、信号端口为0时二、信号端口为1总结:经过上个实验后,对maxplu件有了一定了解,对于 VHDL也更加熟悉,首先构造真值表,画出逻辑电路图,然后编写程序生成仿真波形图。
在编写程序的时候也出现了一些错误,比如是将单个字符用双引号,结果编译通不过。
老是报错。
后来才检查出来。
实验二4舍5入电路一实验目的:1、掌握组合逻辑电路的基本设计方法。
目录目录 (I)第一章EDA实验板介绍 (1)第二章基于QuartusII的数字系统设计 (4)2.1 基于QuartusII的数字系统设计流程 (4)2.2 QuartusII软件使用介绍 (5)第三章实验内容 (28)3.1 设计性实验 (28)实验一、数字频率计的设计 (28)实验二、PCM基群帧同步检测电路设计与实现 (29)实验三、FIR滤波器的设计 (30)实验四、自动销售机的设计 (32)实验五:数字密码锁设计 (33)3.2 综合性实验 (35)实验一多功能秒表设计 (35)实验二、红外遥控接系统设计 (36)附录 (38)EDA实验板顶层图 (38)EDA实验板底层图 (39)EDA实验板原理图 (40)下载线原理图 (45)第一章EDA实验板介绍为配合设计性实验和综合性实验的顺利开展,华南理工大学自主开发了EDA实验平台,如图1-1所示。
该平台选用了Altera公司的CYCLONE1系列的EP1C6Q240C8为基本配置,一上电就可以工作,无需其他芯片配合。
该平台硬件资源丰富,主要包括电源稳压电路、流水灯、键盘、50M频率的晶振、4位七段数码管、FLASH、sdram、蜂鸣器、、USB接口、ps2接口、拨码开关等,各模块电路独立工作,可通过跳线设置是否与芯片连接。
此外,可以外接配套的电平开关转接板,扩充1到16路电平拨码开关,为芯片的任意管脚输入高低电平。
该实验平台配置灵活,能够完成多种实验和课程设计。
在实验的过程中,读者可以根据条件选择合适的硬件平台,书中第三章基础实验在进行管脚配置的时候是根据图1-1设定的,对于不同的实验平台,读者可以作出相应的修改。
图1-1 EDA实验平台顶层PCBEDA实验版功能模块分布如图1-2,读者可以根据本EDA实验版的功能结合配套资料进行FPGA的实验开发。
图1-2 EDA实验版结构框图EDA实验版的具体原理图,管脚配置关系见附件。
第二章基于QuartusII的数字系统设计2.1 基于QuartusII的数字系统设计流程Altera Quartus®II 设计软件提供完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC) 提供全面的设计环境。
实验一Windows基本操作一、实验目的与要求1.掌握操作系统的启动和关闭方法。
2.掌握资源管理器的启动及其窗口的组成。
3.掌握对文件及文件夹的基本操作。
4.掌握快捷方式的创建和使用方法。
5.掌握利用Windows控制面板设置系统配置的方法。
6.掌握屏幕抓图的方法。
二、实验学时2学时三、实验内容1.启动资源管理器(两种方法,任选其一)方法1:单击“开始”∣“所有程序”∣“附件”∣“Windows资源管理器”选项,启动资源管理器,如图1-9所示。
图1-9资源管理器窗口方法2:通过快捷菜单,选择“资源管理器”项目进入。
②鼠标右击“开始”按钮。
②在弹出的快捷菜单中选择“打开WINDOWS资源管理器”选项。
在Windows7中引入“库”的概念,与xp系统中的“我的文档”类似,分文档、图片、音乐、视频四个库,建议大家把重要的资料分类放入库中。
库是一个虚拟文件夹,操作与普通的文件夹一样,是“我的文档”的进一步加强。
2.新建文件和文件夹(新建文件与新建文件夹的方法类似)(1)用资源管理器菜单的方式新建名为“student1”的文件夹。
操作步骤如下:①在资源管理器左窗格中选定需要建立文件夹的驱动器。
②单击“文件”∣“新建”∣“文件夹”选项(如图1-15所示),在右窗格中出现的新文件夹中输入“student1”,然后按【Enter】键确定,则在磁盘中建立了一个“student1”新文件夹。
(2)以右键菜单方式新建一个名为“student2”的文件夹。
操作步骤如下:①在资源管理器左窗格中选定需要建立文件夹的驱动器。
②在右窗格任意空白区域右击,在弹出的快捷菜单中选择“新建”∣“文件夹”选项,如图1-16所示,在出现的新文件夹中输入“student2”并确定,即在A盘中建立了一个新文件夹“student2”。
(3)新建一个名为“happy.txt”的文件。
操作步骤如下:①在资源管理器左窗格中选定文件建立所在的位置,例如E盘。
实验一 逻辑门功能测试及其应用研究一、目的(1) 学习掌握TTL 集成与非门的逻辑功能及主要参数测试方法; (2) 学习掌握三态门逻辑功能,了解“总线”结构的工作原理。
二、原理集成逻辑门是数字电路中应用十分广泛的最基本的一类器件,为了合理地使用和充分利用其逻辑功能,必须对它的主要参数和逻辑功能进行测试,本实验中采用TTL 中速四2输入与非门74LS00进行测试,74LS00与非门的内部电路和引脚排列如附录所示。
1. TTL 集成逻辑门电路主要技术参数 (1)传输特性各种类型的TTL 门电路,其传输特性大同小异,如图4-1-1所示,这是一条由理论分析所得到的曲线,实际的曲线,可用实验的方法求得,如用示波器扫描来获得,或者通过在输入端输入不同的直流电压,利用直流电压表逐点测量输出电压值的方法得到传输特性曲线。
I图4-1-1 电压传输特性(2)输入和输出的高、低电压数字电路中的高、低电压常用高、低电平来描述,并规定在正逻辑体制中,用逻辑0与1分别表示高、低电平。
作为门电路的技术参数常用高、低电压表示,以V 为单位进行量化,有利于具体应用。
由于不同类型的TTL 器件,其I O v v 特性各不相同,因而其输入和输出高、低电压也各异。
74LS00与非门的输入和输出的高、低电压可由其电压传输特性得出。
(3)传输延迟时间传输延迟时间是表征门电路开关速度的参数,它意味着门电路在输入脉冲波形的作用下,其输出波形相对于输入波形延迟了多少时间。
一般采用平均传输延迟时间pd t 表示,它是一个瞬态参数,它是指与非门输出波形边沿的0.5Vm 点相对于输入波形对应边沿的0.5Vm 点的时间延迟,如图4-1-2所示,其中pdL t 为导通延迟时间,pdH t 为截止延迟时间。
则门电路的平均延迟时间为()/2pd pdL pdH t t t =+。
平均延迟时间是衡量门电路开关速度的一个重要指标,按平均延迟时间的不同,TTL 门电路有中速、高速和超高速之分,一般中速门电路的pd t 为10ns~50ns ,高速为2ns~10ns ,超高速pd t ≤2ns 。
实验一基本逻辑门实验一、实验目的1.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。
2.熟悉数字电路实验箱的使用。
二、实验器材1.数字万用表1块2.数字电路实验箱1台3.二输入四与非门74LS001片4.二输入四或非门74LS02 1片5.二输入四异或门74LS86 1片6.六反相器74LS04 1片三、实验说明本实验采用的集成块引脚排列见图1-1所示:1.将被测器件插入实验箱上的14芯插座中。
2.将器件的引脚7与实验箱的“地(GND)”连接,引脚14与实验箱的“+5V”直流电源连接。
3.用实验箱的电平开关输出作为被测器件的输入。
拨动开关,则改变器件的输入电平。
4.将被测器件的输出引脚与实验箱上的电平指示灯连接。
指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。
四、实验内容及步骤1.与非门逻辑功能测试按图1-2连接电路,输出结果记入表1-1中。
表1-12.异或门逻辑功能测试按图1-3连接电路,输出结果记入表1-2中。
表1-2按图1-4连接电路,输出结果记入表1-34.门电路输出控制分别按图1-5连接电路,S 为电平开关,一个输入端接连续脉冲,用示波器观察输出端波形,记入表1-4中。
74LS00D&R 300LEDA BA BY表1-4五、实验总结1.由表1-2和1-3写出逻辑表达式,指出电路逻辑功能。
2.说明与非门在什么情况下封锁信号,在什么情况下允许信号通过?3.若用异或门作为控制门,一端接电平开关S ,另一端接连续脉冲,情形会怎样?74LS00D&SY74LS02D>=1SY实验二数据选择器和译码器一、实验目的1.掌握译码器的逻辑功能及应用。
2.掌握数据选择器的逻辑功能及应用。
二、实验器材1.数字万用表1块2.数字电路实验箱1台3.数字存储示波器1台4.3线-8线译码器74LS138 1片5.八选一数据选择器74LS151 1片6.四输入二与非门74LS20 1片7.4线-七段译码器/驱动器4511 1片8.共阴极七段显示器1片三、实验说明1.74LS138、74LS151、74LS20和4511的引脚图如图2-1所示。
实验报告 课程名称: 数字系统设计实验Ⅰ 指导老师: 屈民军/唐奕/马洪庆 成绩:__________________ 实验名称: 补充实验一 常用组合电路模块的设计和应用_实验类型:设计型_一、实验目的和要求(必填) 二、实验内容和原理(必填)三、主要仪器设备(必填) 四、操作方法和实验步骤五、实验数据记录和处理 六、实验结果与分析(必填)七、讨论、心得一、 实验目的略二、 实验内容和原理实验内容:1. 任务一两数之差的绝对值电路的设计(1)编写一位全加器的Verilog HDL 代码,并用ModelSim 软件进行功能仿真。
(2)编写N 位二选一数据选择器的Verilog HDL 代码及其测试代码,并用ModelSim 软件进行功能仿真。
注意,N 为参数,表示数据选择器数的位数。
(3)编写N 位比较器的Verilog HDL 代码,并用ModelSim 软件进行功能仿真。
注意,N 为参数,表示比较器的位数。
(4)对两数之差的绝对值电路进行功能仿真。
(5)建立ISE 工程文件,对工程进行综合、引脚约束、实现,并下载到开发实验板中对设计进行验证,注意:①本设计为组合电路,所以无需进行时序约束。
②本设计的引脚约束内容如表A.2所示。
2. 任务二模式比较器编写模式比较器的Verilog HDL 代码,并用ModelSim 软件进行功能仿真。
实验原理略 三、 主要仪器设备计算机四、 操作方法和实验步骤1、 依照给出的顶层设计代码完善各模块代码:比较器中用if 语句比较a ,b 大小并靠改变agb 的值输出结果;数据选择器直接用assign 连续赋值语句,根据sel 的值选择输出;全加器考虑进位,因此根据二进制特点将位输出用异或运算表示,将进位输出用与或运算表示;2、 将代码复制到虚拟机,打开Modelsim 进行功能仿真,中途出现的error/warning 等尝试修改代码进行修复,直到仿真成功。
然后观察波形,分析设计代码是否正确;3、 打开ISE 工程文件,对工程进行综合、引脚约束、实现,并在实验室将工程下载到开发实验板中对设计进行验证。
目录实验一、QUARTUS II的基本应用及简单组合逻辑设计 (1)实验二、计数器设计 (3)实验三、跑马灯控制电路设计 (6)实验四、8位乘法器的实现 (8)实验五、数字钟的设计 (10)实验六、8人抢答器设计 (12)实验一、QUARTUS II的基本应用及简单组合逻辑设计一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208或者芯片为EP1K30TC144)。
EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。
二、实验目的1、熟悉Quartus II的菜单、元件库、功能模块及基本应用操作;2、熟悉Quartus II的Verilog HDL文本方式及原理图方式设计实现流程。
3、学习使用JTAG接口下载逻辑电路到可编程逻辑器件并能调试到正常工作。
4、熟悉数字电路集成设计的过程。
三、实验内容1、用Verilog HDL文本方式设计带使能控制的3-8译码器;三、实验原理1、3-8译码器:译码器是把输入的数码解出其对应的数码,译码器有N个二进制选择线,那么最多可译码转换成2N个数据。
当一个译码器有N条输入线及M条输出线时,则称为N×M的译码器。
3×8译码器是依此而来。
3×8译码器真值表如下表所示:四、实验步骤新建设计文件夹(不可用中文)-》新建设计文件-》输入设计项目(原理图/Verilog HDL 文本代码)-》存盘(注意原理图/文本取名)-》将设计项目设置成Project-》选择目标器件-》启动编译-》建立仿真波形文件-》仿真测试和波形分析-》引脚锁定并编译-》编程下载/配置-》硬件测试五、硬件测试说明1、把译码器的输入接到拨码开关,使能控制端接按键,输出端接8个LED灯,通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×8译码器的工作状态。
实验一IO使用一.基础知识I/O相关寄存器功能如下符号功能使用方法PxDIR输入/输出方向寄存器0:输入;1:输出PxIN输入寄存器读PxIN值PxOUT输出寄存器0:输出低;1:输出高PxIFG中断标志寄存器0:无中断请求;1:有PxIES中断触发沿选择寄存器0:上升沿;1:下降沿PxIE中断使能寄存器0:禁止中断;1:允许PxSEL功能选择寄存器0:IO;1:第二功能PxREN上拉/下拉电阻使能寄存器0:禁止;1:使能二.例程//硬件连接:P1.0~P1.7连接至扩展板LED接口D0~D7,P2.0连接至扩展板按键接口S0. //功能:每次按按键改变LED状态,即由亮到灭或者由灭到亮#include"msp430.h"//包含头文件void delay(unsigned int i)//延时子函数{unsigned int j,k;for(j=0;j<i;j++)for(k=0;k<200;k++);}int main(void){WDTCTL=WDTPW+WDTHOLD;//关看门狗P1DIR=0xff;//P1.0~P1.7都作为输出口P1OUT=0x00;//P1.0~P1.7输出低电平P2DIR&=0xfe;//P2.0作为输入口P2REN|=0x01;//使能P2.0的上/下拉电阻P2OUT|=0x01;//设置P2.0口上拉电阻while(1){if((P2IN&0x01)==0)//按键是否按下{delay(80);//延时消抖if((P2IN&0x01)==0)//按键确实按下{P1OUT=~P1OUT;//LED改变状态}}}}三.作业(1)硬件连接:P1.0~P1.7连接至扩展板LED接口D0~D7功能:流水灯,从LED0到LED7依次点亮,如此循环。
(每次只有一颗LED亮)(2)硬件连接:P1.0~P1.7连接至扩展板LED接口D0~D7,P2.0连接至扩展板S0,P2.1连接至扩展板S1。
《数字电子技术》实验指导书安阳工学院电子信息与电气工程学院实验要求一、实验前必须充分预习,完成指定的预习任务。
二、用仪器和实验箱前必须了解其性能、操作方法及注意事项,在操作时应严格遵守。
三、实验时接线要认真,相互仔细检查,确定无误才能接通电源。
四、实验时应注意观察,若发现有破坏性异常现象,例如元件冒烟、发烫或有异味等,应立即关断电源,保持现场,报告指导老师。
找出原因、排除故障,经指导老师同意后再继续做实验。
五、实验过程中需要改接线时,应关断电源后才能拆、接线,使用自锁紧插头时,严禁用力拉线,拆线时,应手捏线端并旋转轻微向上用力拔起,以防线被拉断。
六、实验过程中要仔细观察实验现象,记录实验结果(数据、波形、现象)。
所记录的实验结果经指导老师审阅签字后再拆除实验线路。
七、实验结束后,必须关断电源、拔出电源插头,并将仪器、设备、工具、导线等按规定整理。
八、实验后每个学生必须按要求独立完成实验报告。
数字电路实验箱使用说明本实验箱可以完成数字电路课程实验,由实验板和保护箱组成。
该实验箱的实验板采用独特的两用板工艺,正面贴膜,印有原理图及符号,反面为印制导线并焊有相应元器件,需要测量及观察的部分装有自锁紧式接插件,使用直观、可靠。
一、技术性能及配置1、电源输入 : AC220V 士 10 %。
输出 : DC 5V/1A 、 DC 1、25V ~ 15V/0、2A (两路) 有过载保护及自动恢复功能。
2、信号源单脉冲:为消抖动脉冲,可同时输出正负两个脉冲,前后沿≤20ns ,脉冲宽度≤0、2μs ,脉冲幅值为 TTL 电平。
连续脉冲:两组,一组为 4 路固定频率的方波。
其频率分别为 200KHZ 、100KHz、50KHz、25KHz 。
另一组为: 1Hz~5KHz 连续可调方波,分二档由开关切换,两路输出均为 TTL 电平。
3、八组逻辑电平开关:可输出“O”、“1”电平。
置于H时输出为+5V,置于L时输出为0。
数字系统设计实验指导书Experiments Handbook of Digital System Design实验一:8位简单算逻运算单元电路设计8 bit Simple ALU实验学时:4学时实验类型:设计型一、目的与任务要求学生在理论课学习的基础上,掌握采用Verilog HDL、基于自顶向下的模块化设计方法;掌握在Quartus II EDA平台进行设计输入、编译、仿真的全过程。
二、内容与要求1. 内容在Quartus II EDA平台上,运用基于模块化的设计方法,采用Verilog HDL,设计一个8位简单ALU及其数据通道,功能如表,分别根据操作码实现加、减、逻辑与、或等八种运算,数据通道在读写命令控制下,完成从寄存器读出操作数及写入运算结果。
要求:其输入操作数为实验学生学号末尾开始尽量非零的四位数对应的8421码组合(例如,学号11070023两个操作数分别为32和71,对应的8421码00110010,2.要求预习实验内容,编写Verilog HDL程序。
课上,进行编程输入、编译、时序仿真。
课后写出实验报告(实验名称、实验内容、顶层框图、V erilog HDL程序、仿真波形、资源分配、实验小结)三、考核与成绩评定本实验为学生必做实验,本实验分值为20 分考核内容:预习25%,实验过程50%,实验报告25%。
成绩评定方法:根据考核内容进行综合评定。
四、实验说明本实验的重点在于自顶向下的模块化设计的概念及设计方法。
五、参考资料ALU及数据通道参考教材《VerilogHDL高级数字系统设计》P478和P208实验三、基于循环算法的数字签名分析电路的验证Verification of Repetitive Algorithm based Digital SignatureAnalysis Circuit实验学时:2 实验类型:验证型一、目的与任务:要求学生在理论课学习的基础上,分析基于CRC码进行数字签名验证功能需求,学习用Verilog HDL中循环算法进行行为建模的功能设计,在EDA平台-QuartusII下,完成设计的输入及编译综合以及仿真验证。
二、内容、要求与安排方式:1、实验内容与要求内容:a.参考教材中CRC数字签名认证的线性反馈移位寄存器电路模型,利用循环算法实现数字签名分析电路的Verilog HDL设计描述。
b.完成功能的仿真验证。
2、要求:预习实验内容,分析行为建模编程特点,理解Verilog HDL代码编写。
课上,按要求进行编程输入、编译、仿真。
针对实验内容引发的思考和进一步验证。
课后写出实验报告(实验名称、实验内容、Verilog HDL程序、各功能的仿真结果、实验小结)三、考核与成绩评定1、考核的内容预习25%,实验过程50%,实验报告25%。
2、成绩评定的方法分值10,根据考核内容进行综合评定四、实验说明行为建模是VerilogHDL设计的重点,该实验重点理解如何利用循环语句实现可复用代码的设计;难点是CRC算法的理解。
五、参考资料参考教材《VerilogHDL高级数字系统设计》P172实验三:分别用任务和函数实现两数求和及取大数运算Computing two operands’ sum and larger value using the task andfunction respectively实验学时:2学时实验类型:验证型一、目的与任务要求学生在理论课学习的基础上,了解和掌握Verilog HDL中任务和函数语法及编程方法掌握在Quartus II EDA平台进行设计输入、编译、仿真的全过程。
二、内容与要求1. 内容a.在Quartus II EDA平台上,参考教材《VerilogHDL高级数字系统设计》P169-171,了解task和function进行功能描述的语法结构,在实验中以两数求和和去大数问题为背景,分别进行功能描述、仿真,掌握task和function实现结构化的功能描述。
b.测试数据为实验学生学号中末位面开始尽量非零的数对应的8421码。
2.要求预习实验内容,编写相应的Verilog HDL程序。
课上,按要求进行编程输入、编译、功能仿真或时序仿真。
课后写出实验报告(实验名称、实验内容、V erilog HDL程序、仿真波形、小结)三、考核与成绩评定本实验分值为10 分。
考核内容:预习25%,实验过程50%,实验报告25%。
成绩评定方法:根据考核内容进行综合评定。
四、实验说明基于任务和函数的结构化编程是学习Verilog HDL的重要知识点之一,通过本实验重点掌握task和function的语法结构和编程方法。
五、参考资料有关任务和函数语法等参考教材《VerilogHDL高级数字系统设计》P169-171实验四、出租车计费器的设计Design of the Taxi Meter实验学时:4 实验类型:综合型一、目的与任务:要求学生在理论课学习的基础上,分析出租车计费器”的功能需求,用Verilog HDL进行功能设计,设计在EDA平台-QuartusII下,完成设计的输入及编译综合,设计测试方案,编写Testbench,在ModelSim上进行仿真验证,培养学生的综合分析能力,学习仿真测试方法。
二、内容、要求与安排方式:1、实验内容与要求内容:a.解析“出租车计费器”的功能需求,完成Verilog HDL设计描述。
b.设计对计价功能、汽车运行状态模拟等功能的测试方案。
c.完成Testbench的编写,在ModelSim平台上加以仿真验证。
2、要求:预习实验内容,分析功能,制定测试方案,完成相应Verilog HDL/Testbench代码编写。
课上,按要求进行编程输入、编译、仿真。
针对实验内容引发的思考和进一步验证。
课后写出实验报告(实验名称、实验内容、Verilog HDL程序、各功能的仿真结果、实验小结)三、考核与成绩评定1、考核的内容预习25%,实验过程50%,实验报告25%。
2、成绩评定的方法分值20,根据考核内容进行综合评定四、实验说明本实验重点是理解给定描述、分析结构关系、进程之间的关系;难点是各种功能的仿真测试。
五、参考资料有关出租车计费器的设计方法参考教材《基于QuartusII的数字系统VerilogHDL设计实例详解》P305实验五:4位数字频率计的设计Design of Frequency Meter实验学时:4学时实验类型:综合型一、目的与任务要求学生在理论课学习的基础上,在Quartus II EDA平台下,通过4位数字频率计的设计,掌握基于Verilog HDL的模块设计方法,采用图形输入或纯文本描述的方法完成顶层设计,培养学生的综合分析能力和设计能力。
增加LED模块,进行下载验证。
二、内容、要求1. 内容a.设计一个8位的频率计,可以测量1~9999Hz的信号频率,并将被测信号的频率在8个数码管上显示出来。
b.按照“自顶向下”的设计思想,给出功能原理图,进行模块化分。
b.完成各模块的Verilog HDL设计描述,注意模块之间的关系。
c.采用图形输入或纯文本描述的方法完成顶层设计。
d.进行引脚分配,下载验证。
2.要求.预习实验内容,编写相应的Verilog HDL程序。
.课上,按要求进行编程输入、编译、时序仿真。
.课后写出实验报告(实验名称、实验内容、V erilog HDL程序、仿真波形、可编程逻辑器件的资源利用情况和引脚分配、实验小结)三、考核与成绩评定实验分值:20分考核内容:预习25%,实验过程50%,实验报告25%。
成绩评定方法:根据考核内容进行综合评定。
四、实验说明本实验为中等实验组综合型选做实验。
重点是模块的层次化设计方法,难点是模块划分、模块之间的关系及仿真。
五、参考资料设计方法可参考《V erilog HDL程序设计教程》P183附录:七段LED数码显示译码器实验步骤:在微机硬盘D区(或使用E区)建立以学号命名的个人文件夹,如00070201-02(即为学号01070201和01070102的文件夹)。
启动Quartus5.0,在个人文件夹下建立项目文件:LED输入设计源文件。
在file下点击New选择VerilogHDL file后,选择OK。
相同的LED.v文件。
5.对设计文件进行编译、化简。
方法一:在工具栏上点击按钮。
也可在Processing菜单下先选择进行功能仿真后,再开始编译。
编译出现错误时,用鼠标选中错误信息后,可进行修改。
修改程序后,再次编译。
直至出现如下编译成功的信息。
6.对设计文件进行功能仿真并观察输入、输出波形,以验证电路的逻辑功能是否正确。
在file下点击New选择otherfile->Waveform file后,选择OK。
进入下面窗口,右击鼠标选择Node Finder几个关键点,选中所需仿真的信号,OK手工设置输入信号,用右面的工具,常用的设置完成后保存文件对设计文件进行仿真:方法一:在Tools 下选择simulatorTool如下,选择Function仿真,点击右边。
生成网表后,点击Start 开始仿真,点击report可以查看仿真结果。
方法二:在Processing下选择点击Generate Functional Simulate Netlist 进行仿真。
然后点击仿真按钮,或在Processing下选择点击Start Simulation 。
下图为功能仿真结果:7.选择器件进行适配、记录器件的资源利用状况及引脚分配。
可在编译通过后,在assignment选择device配置器件,根据实验板上器件型号。
在assignment下配置Pin对每个信号分配可用的管脚。
配置完成后,重新编译,编译通过后,连接JTAG线,下载《数字系统设计》实验报告年月日学号姓名累计得分:评语:最终评定得分:注:每个实验的报告格式及要求,详见各实验任务说明。
最后,应附对整个数字系统设计实验内容、安排、方式的意见、建议和体会。