数字电路hc血型匹配检测器
- 格式:doc
- 大小:52.00 KB
- 文档页数:3
实验二 血型配对器的设计一、实验目的1、进一步熟悉Quartus II 软件的使用。
2、掌握简单组合逻辑电路的设计方法与功能仿真技巧。
3、进一步学习Quartus II 中基于原理图设计的流程。
二、实验原理及内容实验原理人类有O 、A 、B 、AB 4种基本血型,输血者与受血者的血型必须符合图示原则。
设计一血型配对电路,用以检测输血者与受血者之间的血型关系是否符合,如果符合,输出为1,否则为0。
已知: AB 血型是万能受血者,O 血型是万能献血者! 如果要输血给O 型血,那么可以的血型是O 型! 如果要输血给A 型血,那么可以的血型是A ,O 型! 如果要输血给B 型血,那么可以的血型是B ,O 型!如果要输血给AB 型血,那么可以的血型是A ,B ,AB ,O 型!实验内容1、用VHDL 语言编写程序实现血型配对器的功能2、对所编写的电路进行编译及正确的仿真。
三、实验条件Quartus II 实验环境 四 实验及仿真 原理图:O O AAB B ABAB受血者输血者选用74151这个器件来完成此功能。
74151的功能表如下可以实现十六选一。
本实验共有十六种情况。
用AB来代表输血者,用CD来代表受血者。
F为1时说明可以。
F为0时说明不可以。
Vhdl编码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity mux16 isport(a0,a1:in std_logic;s:in std_logic_vector(3 downto 0); y:out std_logic);end mux16;architecture archmux of mux16 is beginy<=a0 when (s="0000" ors="0001" ors="0010" ors="0011" ors="1010" ors="1011" ors="1111" ors="0101" ors="0111" ) else a1;end archmux;此编码利用下表编得。
可编辑修改精选全文完整版课程设计课程名称:数字电子技术设计题目:血型遗传分析电路院系:指导教师:专业:学号:姓名:年月日目录No table of contents entries found.第一章设计目的1.本实验设计的研究目的主要是帮助学生掌握组合逻辑电路的分析和计算方法,培养学习专业知识能力。
2.通过血型遗传规律分析电路的设计,使学生在查阅资料、设计方案、参数选择、电路制作、系统调试等方面得到训练,并使学生在电路设计方面具有进一步发挥的余地。
3.根据遗传学中血型遗传规律,设计一种血型遗传规律分析电路。
使用时,只要按钮输入一组父母的血型,仪器能立即显示出子女可能的血型第二章设计要求1、根据电路设计指标的要求,本次设计血型遗传规律分析电路考虑由常用的TTL集成电路设计,由译码电路、按钮控制输入电路、译码显示电路等组成,用探针作为显示指示灯,显示子女的可能的血型。
图1 血型遗传规律分析电路设计方框图方案:血型遗传规律分析电路由两片74LS138译码器,若干与非门完成;方案材料表序号元器件名称规格型号数量备注1 3,8线译码器74LS138D 22、方案要经济实惠,还要更加直观方便的实现电路的功能,元件尽量少,连线布置更简单,维修方便。
第三章总体方案本设计用两片74LS138 线译码器以及逻辑门设计了一种血型遗传分析电路,电路中用单刀双掷开关控制输入端的高低电平来表示父母的血型情况,用灯泡的亮灭代表子女的可能的血型。
实现了输入父母血型就可以实现子女可能血型的设计。
通过用multisim的逻辑电路的仿真成功完成了电路测试。
血型遗传规律分析电路总原理图如图所示:图2 血型遗传电路原理图其主要功能为实现血型遗传规律的电路设计,电路主要由单刀双掷开关、3,8线译码器、与非门、探针组成.其工作原理如下:AB 代表父亲血型,CD代表母亲血型,则一共有16种血型配对的可能,所以本实验采用两片74LS138(译码器)级联,可完成4输入16输出功能血型配对真值表如下:在电路设计上,我们从子女的血型可能性入手,设计输出六组信号,每一组代表在父母的血型影响下孩子可能出现的血型根据设计指标中提供的血型配对表格,可多得到以下结果:实验电路图中对应的 ProdeX1 代表——B型和O型。
数电课程设计课程名称:输血者与受血者血型检测匹配判定电路设计班级:机电学院机械八班姓名:张序海邓力史鹏学号:201108008001620110800800192011080080032课程设计要求:输血者与受血者之间的血型匹配关系如图所示,请设计一个电路完成两个输血者与一个受血者血型匹配检测,并选择合适的输血者。
血型相同的优先选择。
假设两个输血者血型不同。
输血者受血者课程设计目的通过本次设计内容的实训,使学生对于复杂问题的解决方法,把学习的纯理论知识用于实际情况下进行解决实际的问题。
养成认真观察、思考问题、和分解问题的习惯,锻炼科学的逻辑思维能力,使学生的职业道德和职业素质得到提高。
所用元器件:4片74X151,2片7485,以及一些常用的与门非门和反相器。
问题分析与设计本题目要解决的问题包括三个方面:一是确定逻辑函数关系,二是设计实现函数的电路,三是进行电路仿真。
(1)输血和受血的关系要求1. O型血可以输给任意血型的人,但O型血的人只能接受O型血;2. AB型血只能输给AB型的人,但AB血型的人能接受所有血型的血;3. A型血能输给A血型与AB血型的人,而A型血的人能接受A型血与O型血;4. B型血能输给B型血与AB血型的人,而B血型的人能接受B型血与O型血;(2)设定:输血者两人中,第一人能与受血者血型符合规定,电路F1输出1,否则为0;第二人能与受血者血型符合规定,电路F2输出1,否则为0.F1和F2中至少有一个1就表示配型成功。
A型血用00表示,B型血用01表示,AB型血用10表示,O型血用11表示。
R1=1表示第一个输血者的血型可以输给受者;P1=1表示第一个输血者的血型完全与受者一致;R2=1表示第二个输血者的血型可以输给受者;P2=1表示第二个输血者的血型完全与受者一致;F1=1表示第一个输血者的血型可用;F2=1表示第二个输血者的血型可用。
按照此方分进行分析和设计,可得真值表:R1 P1 R2 P2 F1 F20 0 0 0 0 00 0 0 1 0 10 0 1 0 0 10 0 1 1 0 10 1 0 0 1 00 1 0 1 1 10 1 1 0 1 00 1 1 1 1 11 0 0 0 1 01 0 0 1 0 11 0 1 0 1 11 0 1 1 0 11 1 0 0 1 01 1 0 1 1 11 1 1 0 1 01 1 1 1 1 1WX 代表第一个输血者的血型,YZ 代表受血者的血型,R=1表示该输血者的血型可用;P=1表示该血型与受者血型完全匹配,享有优先级。
组合逻辑电路——血型匹配电路一、题目:人得血型由A、B、AB、O四种。
输血时输血者得血型与受血者血型必须符合图1中用箭头指示得授受关系。
判断输血者与受血者得血型就是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现、(提示:用两个逻辑变量得4种取值表示输血者得血型,例如00代表A、01代表B、10代表AB、11代表O。
)图1二、分析:人得血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。
由于我们就是要来判断两个血型就是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。
题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。
74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)得数据逻辑组合。
这就是必须有一个逻辑变量接到74LS151得数据输入端。
我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示得授受关系。
得到能否匹配得卡诺图,其中匹配用1表示,不能用0表示。
做出逻辑变量ABCD得卡诺图如下图所示:00011110由于用74LS1,需要把一个变量放到数据输入端,里我们不妨把D放到到卡诺图2m1 m3m 2 m 6 m 7 m 5 m 4D ABC 000 001 011 010 110 111 1011000 1D0=1 D 1=Dﻩ D 3=1 D2=D D 6=0 D7=1 D5= D 4=0由此我们可以得做出仿真电路:5VU174LS151NG 07MUX~W6D04D13D22D31D415D514D613D712A 11C 9B 10Y 5~G 7X15 VJ1Key = SpaceJ2Key = SpaceJ3Key = SpaceJ4Key = SpaceVCC5VU2A74S00D&三、 仿真验证:当BA=00,D C=00时:可以瞧见指示灯亮,输出高电平,输出正确1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0当BA =01,DC=00时:可以瞧见指示灯灭,输出低电平,输出正确5 V当BA=10,DC=00时:可以瞧见指示灯灭,输出低电平,输出正确5 V当BA=11,DC=00时:可以瞧见指示灯亮,输出高电平,输出正确5 V当BA =00,DC=01时:可以瞧见指示灯灭,输出低电平,输出正确5 V当BA=01,DC=01时:可以瞧见指示灯亮,输出高电平,输出正确当BA=10,DC=01时:可以瞧见指示灯灭,输出低电平,输出正确 当,输出正确当BA=00,DC=10时:可以瞧见指示灯亮,输出高电平,输出正确当BA=01,DC=10时:可以瞧见指示灯亮,输出高电平,输出正确当BA=10,DC=10时:可以瞧见指示灯亮,输出高电平,输出正确,输出高电平,输出正确5 V当BA =00,DC=11时:可以瞧见指示灯灭,输出低电平,输出正确5 V当BA=01,DC=11时:可以瞧见指示灯灭,输出低电平,输出正确5 V当BA=10,D C=11时:可以瞧见指示灯灭,输出低电平,输出正确 ,输出高电平,输出正确四、总结通过仿真仿真,验证了电路得输出与所要求得一致,说明电路正确,则分析中得到得电路就就是我们所需要得电路。
分析全自动血型仪在血型检测中的应用全自动血型仪是一种用于快速和准确地确定人类血型的仪器。
它在临床血库和医疗实验室中被广泛应用。
下面将从原理、优势和应用三个方面对全自动血型仪在血型检测中的应用进行分析。
全自动血型仪的工作原理是通过免疫技术和自动化技术相结合来确定血型。
它使用特定的抗体和抗原来识别血浆或全血中的血型。
通过免疫反应和免疫沉淀的原理,可以显现出不同血型的特征。
全自动血型仪通过在试验盘上加入试剂、样本和抗体,然后自动离心和洗涤,最后通过光学读数来确定血型。
全自动血型仪具有许多优势。
它具有高效性。
全自动血型仪可以在短时间内处理大量样本,提高工作效率。
它具有高精度。
全自动血型仪的结果准确度高,误差率低,可以提供可靠的血型检测结果。
全自动血型仪还具有可重复性好、操作简便、自动化程度高等优点,大大减少了人为操作的影响,降低了人为误差的发生。
全自动血型仪在血型检测中具有广泛的应用。
它可以用于临床血库的血型鉴定和血液配型。
在血液配型过程中,全自动血型仪可以快速而准确地鉴定供者的血型,为受者提供匹配的血液产品。
全自动血型仪还可以用于血型相关疾病的诊断。
ABO血型不兼容可导致新生儿溶血性疾病,全自动血型仪可以快速确定母婴的血型,及时诊断并采取相应的措施。
全自动血型仪还可以用于血型鉴定和培训教育等领域。
全自动血型仪在血型检测中具有重要的应用价值。
它通过自动化技术和免疫技术相结合,可以快速、准确地确定人类血型。
全自动血型仪具有高效性、高精度、可重复性好等优点,并广泛应用于临床血库和医疗实验室中的血型鉴定、血液配型和血型相关疾病的诊断等方面。
分析全自动血型仪在血型检测中的应用全自动血型仪是一种先进的仪器设备,广泛应用于血型检测领域。
它能够在较短的时间内准确地完成多种血型检测,提高了检测效率和准确性。
以下将分析全自动血型仪在血型检测中的应用。
全自动血型仪具有高度的自动化程度。
它能够自动完成样本加载、试剂加入、混匀、离心、抗体-红细胞反应和结果记录等一系列操作,大大减轻了操作人员的工作负担。
相比之前的手工操作血型检测方法,全自动血型仪具有更高的工作效率,能够在短时间内完成多个样本的检测。
全自动血型仪具有高度的准确性。
它采用先进的光学检测技术,能够准确地测量红细胞的凝集反应情况,从而确定血型。
全自动血型仪还能够自动对结果进行判读和比对,减少了人为因素对结果的干扰,提高了血型检测的准确性。
全自动血型仪还具有多样化的应用功能。
它可以进行常规血型的检测,如ABO血型和Rh血型的鉴定。
全自动血型仪还可以进行其他血型变异和标记物的检测,如主要和次要抗原的鉴定以及抗体筛查等。
这些多样化的应用功能使得全自动血型仪能够满足不同血型检测需求,为临床诊断和治疗提供了更加全面的参考信息。
全自动血型仪具有较好的操作便捷性。
它的操作界面简单明了,使用者只需按照提示进行一系列操作即可完成检测。
全自动血型仪还具备数据存储和打印功能,能够方便用户随时查看和记录检测结果。
这种操作便捷性使得全自动血型仪适用于临床医学、疾病监测和科研等多个领域,方便了医务人员进行血型检测工作。
全自动血型仪在血型检测中具有广泛的应用价值。
它的高度自动化、准确性和多样化应用功能,使得血型检测工作更加高效、准确,并且适用于不同场合的使用。
随着技术的不断发展,全自动血型仪也会得到进一步的改进和完善,为血型检测工作提供更好的帮助。
(19)中华人民共和国国家知识产权局(12)实用新型专利(10)授权公告号 (45)授权公告日 (21)申请号 201821028746.3(22)申请日 2018.07.02(73)专利权人 绍兴市智能制造促进中心地址 312000 浙江省绍兴市越城区亭山路526号(72)发明人 周灵彬 (74)专利代理机构 北京众合诚成知识产权代理有限公司 11246代理人 连平(51)Int.Cl.G05B 19/042(2006.01)(54)实用新型名称一种输血、受血一体血型匹配指示电路(57)摘要本实用新型公开了一种输血、受血一体血型匹配指示电路,包括血型选择按键电路、输血和受血选择电路、血型匹配逻辑电路以及LED灯血型指示电路。
本实用新型主要由数字门电路、模拟开关组成,并通过一按键来方便地切换输、受血状态。
电路中设计4个按键代表4种基本血型,4个LED灯也代表4种基本血型。
在输血或受血状态下按某一按钮,它所能输向的或是接受的血型指示灯会亮起,使输、受血匹配一目了然,直观性强,实用性高。
权利要求书2页 说明书5页 附图5页CN 208537942 U 2019.02.22C N 208537942U1.一种输血、受血一体血型匹配指示电路,其特征在于:包括血型选择按键电路、输血和受血选择电路、血型匹配逻辑电路以及LED灯血型指示电路;所述血型选择按键电路与所述血型匹配逻辑电路的输入端连接,所述输血和受血选择电路与所述血型匹配逻辑电路的输入端连接,所述血型匹配逻辑电路的输出端与所述LED 灯血型指示电路连接。
2.根据权利要求1所述的一种输血、受血一体血型匹配指示电路,其特征在于:所述血型选择按键电路包括第一按键KA、第二按键KB、第三按键KAB、第四按键KO、第一电阻R1、第二电阻R2、第三电阻R3、第四电阻R4,所述第一电阻R1的第一端与所述第一按键KA连接,所述第二电阻R2的第一端与所述第二按键KB连接,所述第三电阻R3的第一端与所述第三按键KAB连接,所述第四电阻R4的第一端与所述第四按键KO连接,所述第一电阻R1的第二端、所述第二电阻R2的第二端、所述第三电阻R3的第二端、所述第四电阻R4的第二端分别接地。
学号36分数血型配对器的设计与制作姓名:柳艳丽班级:09电信指导教师:赵欣湖北轻工职业技术学院2011年3月28日目录第一章概述 (3)第二章电路原理 (4)第三章电路调试 (8)第四章总结与体会 (11)第五章附录 (12)第一章概述每个人都拥有各不相同的血型,当并不是谁都清楚自己能接受哪些血型的人献血,自己能献血给哪些需要的人。
血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血型能为哪些血型的人群服务;同时,操作人也能够知道自己可以接受哪些人群的献血。
实验题目人类有四种基本血型:A、B、AB、O型。
输血者与受血者的血型必须符合下述原则;O型血可以输给任何血型的人,但O型血的人只能接受O型血;AB型血的人只能输给AB型血的人,但他能接受所有血型的血;A型血能输给A型或AB型血,B型血能输给B型或AB型血,可以接受B型或O型血。
参考以上信息,使用八选一数据选择器74LS151设计一个血型配对指示灯。
用两组开关表示供血者和受血者的血型,如果输血者与受血者的血型符合规定则绿灯亮,表示可以输血,否则红灯亮。
第二章电路原理设计思路1.用变量XY表示供血者代码。
MN表示受血者代码。
代码设定如下:XY=00 A型MN=0 A型01 B型01 B型10 AB型10 AB型11 O型11 O型2.设F1表示绿灯,F2表示红灯,依题意,列出真值表如下:X Y M N F1(绿)F2(红)0 0 0 0 1 00 0 0 1 0 10 0 1 0 1 00 0 1 1 0 10 1 0 0 0 10 1 0 1 1 00 1 1 0 1 00 1 1 1 0 11 0 0 0 0 11 0 0 1 0 11 0 1 0 1 01 0 1 1 0 11 1 0 0 1 01 1 0 1 1 01 1 1 0 1 01 1 1 1 1 03.根据真值表列出逻辑表达式:4.控制部分原理图,使用芯片74LS151、74LS00:5.输入部分原理图,使用芯片74LS148:6.输出部分原理图,使用双色红绿共阴LED:7.根据原理图绘制接线图:第三章电路调试1. 搭建电路所需芯片:八选一数据选择器74LS151(1个)、与非门74LS00(1个)、编码器74HC148(2个),其相关资料如下:74LS151集成电路数据选择器的功能:74LS151的管脚图:74HC148编码器的功能:74HC148的管脚图:74LS00的管脚图:2. 未通电时的检查,用万用表的蜂鸣档检查线路是否相通。
H a r b i n I n s t i t u t e o f T e c h n o l o g y设计说明书(论文)院系:班级:设计者:学号:设计时间:一、题目要求人的血型有A 、B 、AB 、O 四种。
输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。
判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。
(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A 、01代表B 、10代表AB 、11代表O 。
)图1二、设计思路由逻辑关系列出真值表根据真值表,列出逻辑表达式:Y=A B C D +A B C D +A B C D+A BC D +AB C D +ABC D +AB C D+ABCD +ABCD按照逻辑表达式,设计逻辑电路图:74LS151有三个输入端口,在逻辑函数的四个输入变量中,任意确定三个变量作为74LS151输入量,因此选择方案有多种,例如确定ABC 、BCD 、ABD 、ACD 等为74LS151输入量,不同的方案得到的标准与或式的形式不同,因此电路结构也不同。
方案一:如果ABC 为选择变量,且设A 为高位,则逻辑函数Y 的与或标准型表达式为 Y=A B C D +A B C D +A B C D+A BC D +AB C D +ABC D + AB CD+ABCD +ABCD=m 0D + m 1D + m 2 D + m 3D + m 5D + m 6 + m 7可以推出:D4=0D6=D7=1D2=D D0=D1=D3=D5=D方案二:如果BCD 为选择变量,且设B 为高位,则逻辑函数Y 的与或标准型表达式为 Y=A B C D +A B C D +A B C D+A BC D +AB C D +ABC D + AB CD+ABCD +ABCD =A m 0+ m 2 + A m 4 + m 5+ m 6+A m 7可以推出:D0=AD4=D7=AD2=D5=D6=1D1=D3=0在此仅列ABC 、BCD 为选择变量的情形,ABD 、ACD 为变量的情形与方案一、方案二类似。
输血和受血检测判定电路设计随着医疗技术的不断发展,输血和受血检测判定电路也越来越重要。
在输血过程中,正确的受血者与给血者匹配非常重要。
如果错误的血液被输送到受血者体内,会导致严重的后果。
因此,测试血液类型和匹配血液类型是非常必要的。
本文将详细介绍输血和受血检测判定电路设计。
输血和受血检测判定电路是一个电子电路,其目的是检查给血者的血液类型是否与受血者的血液类型相匹配。
这个电路基于基础的在红细胞上存在的特定抗原和抗体的相互作用。
这个电路包含了一个信号放大器、比较器、门电路、触发器和继电器等元件。
2. 设计过程2.1 血液测试首先,我们需要分别从受血者和给血者的血液中提取血浆。
然后,我们需要混合血浆以检测两个血液样本中的抗原和抗体。
这个过程可以在实验室中完成,也可以在输血间隔一段时间后完成。
2.2 信号放大在这个步骤中,我们通过信号放大器来放大该电路接收的信号。
由于这个电路的输入信号非常微弱,因此需要对其进行放大。
2.3 比较接下来,我们需要使用比较器来比较给血者和受血者的血液类型。
如果这两个血液类型相同,则比较器的输出将为高电平信号。
2.4 门在这个部分,我们使用一个门电路,如与门或或门,来判断输血是否能够进行。
如果比较器的输出是高电平,则门电路将会通过。
2.5 触发器当门电路输出发生变化时,需要使用一个触发器来控制继电器的作用。
触发器的作用是将电路的状态维持在给定状态一段时间,以确保输血的安全和稳定性。
2.6 继电器最后,我们需要使用继电器来操纵输血过程中的阀门。
当门电路输出高电平时,继电器将激活阀门以允许血液流向受血者。
当门电路输出低电平时,继电器将切断血液流动以避免血液混合。
3. 总结输血和受血检测判定电路的设计非常重要,可以确保受血者的安全性和减少输血导致的潜在的合并症。
它可以很有效地确保给血者和受血者的血液类型匹配。
因此,这个电路在医疗领域是十分重要的。
福建农林大学金山学院
课程设计报告
课程名称:数字电子技术课程设计
课程设计题目:血型匹配检测器的设计
姓名:
专业:电气工程及其自动化
年级:2013级
学号:
指导教师:张振敏
职称:讲师
2015年7月3日
一、实验目的
人的血型有A、B、AB、O四种。
输血时输血者的血型与受血者的血型必须符合一定的授受关系。
设计这个逻辑电路,判断输血者与受血者的血型是否符合上述规定。
二、软件介绍
仿真软件Multisim是一个专门用于电子电路仿真与设计的EDA工具软件。
作为 Windows下运行的个人桌面电子设计工具, Multisim是一个完整的集成化设计环境。
Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。
学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。
Multisim软件绝对是电子学教学的首选软件工具。
特点:直观的图形界面;丰富的元器件;强大的仿真能力;丰富的测试仪器;完备的分析手段;独特的射频(RF)模块;强大的MCU模块;完善的后处理;详细的报告;兼容性好的信息转换。
三、设计过程
人的血型有A、B、AB、O四种。
输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。
先用AB代表输血者的血型(00为A型血、01为B型血、10为AB型血、II为0型血),CD代
表受血者的血型(00为A 型血、01为B 型血、10为AB 型血、II 为0型血),Y 为输出(0为不匹配、1为匹配),那么可以列出输血、受血血型是否匹配。
血型匹配真值表:
根
据
真
值
表
可
以得
到
逻
辑
函
数
表
达
式
为
:
Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD
化简,得:Y=B'C'D'+A'BD+AD'+BC
数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。
八选一数据选择器74HC151是集成的有三个地址输入端A2、A1、A0,八个数据输入端D0~D7的中规模组合逻辑电路。
74HC151数据选择器的功能可以用逻辑函数表示为:∑==7
0i i i 012m ,,(D A A A Y )
根据公式:
Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD 将A →A 2、B →A 1、C →A 0,因此逻辑式可以表示为: Y=m 0D' + m 1D' + m 2D + m 3D' + m 5D ’十m 6+m 7 故:
D 0=D 1= D 3=D 5=D', D 2 = D, D 4=0,D 6=D 7=1
四、仿真电路的搭建
根据上面的公式,这样只要将数据选择器的输入端进行适当的设置便可以实现电路功能。
运行程序,找出74HC151、74HC04D-4V、VDD和接地符号,并连接电路。
电路图如下:
五、电路仿真结果和分析
图中~G为控制端,低电平有效,将选择信号A. B. C(即A
2.、A
1
.、A
)分别接式中的前三个
变量,将表达式中的第四个变量接到数据选择器的输入端,具体如上,这样在数据选择的输出端Y 端就可以得到血型匹配的结果了。
用Multisim来验证逻辑功能,经过逻辑转换功能,可以得到与图2一样的逻辑真值表(如下图),可见用数据选择器也能够实现血型匹配器的功能。
通过逻辑转化器的窗口,可以得到逻辑表达式和逻辑表达式的化简式:
分析:
如上所述,运用Multisim可以很方便地进行数字电路的设计,基本逻辑门电路和数据选择器均可以很好地实现血型匹配器,经Multisim中的逻辑转换器验证,两种方法的最终逻辑功能相同,而用数据选择器能更加简洁地完成电路功能。
通过设计实例可以看出,利用Multisim进行数字电路设计可以极大地提高设计效率,节约实验器材,显示结果直观。
Multisim将成为为今后的电子电路设计和开发人员得力助手。