当前位置:文档之家› 函数发生器的设计

函数发生器的设计

函数发生器的设计
函数发生器的设计

函数发生器的设计

摘要:函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类。

在对直接数字频率合成(DDS)技术充分了解后,本文选择以Altera公司生产的FPGA芯片为核心,以硬件描述语言Verilog HDL为开发语言,设计实现了可以产生任意波形(以正弦波为例)和固定波形的(以方波和锯齿波为例)的函数信号发生器。文中详细阐述了直接数字频率合成(DDS)、波形产生以及调幅模块的设计,并给出了相应的仿真结果。本文最后给出了整个系统的仿真结果,即正弦波、方波、锯齿波的波形输出。实验表明,用现场可编程门阵列(FPGA)设计实现的采用直接数字频率合成(DDS)技术的函数信号发生器,克服了传统方法的局限,实现了信号发生器多波形输出以及方便调频、调幅的功能。

关键词:波形发生器;FPGA;DDS;Verilog HDL

Design Of Function Generator

Abstract:Function Generator is an indispensable tool in a process of various tests and experiments. It is widely used in communication, measurement, radar, control, teaching and other fields. With the development of China's economic and technological, the corresponding test equipment and test methods are also put forward higher requirements, and the signal generator has become a vital test instrument.

Through understanding the direct digital frequency synthesis (DDS) technology, this paper chose to the Altera Corporations’ FP GA chips as the core of design. The function generator which can produce sine, square wave, sawtooth wave was designed. It also used hardware description language Verilog HDL as development language. The paper described the design of the main module, such as direct digital synthesizer (DDS), waveform generation and modulation module. And the corresponding simulation results were also presented.At last, the simulation results of the whole system were presented, that is, sine, square,has been carried out. Experiments show that the function generator based on FPGA and direct digital frequency synthesis (DDS)technology has overcame the limitations of traditional methods and achieved a signal generator which can generate multiple waveforms and has facilitate FM, AM function.

Key words:Digital Waveform Generator; FPGA; DDS; Verilog HDL

目录

第一章绪论 (1)

1.1背景与意义 (1)

1.2国内外发展现状 (1)

1.3FPGA简介 (3)

1.4V ERILOG HDL简介与Q UARTUSⅡ简介 (3)

第二章基于FPGA的系统设计 (5)

2.1函数发生器的整体设计 (5)

2.2DDS工作原理 (6)

2.2.1频率控制字 (6)

2.2.2相位累加器 (6)

2.2.3波形ROM (7)

2.3设计原理及要求 (7)

2.4系统时钟电路 (8)

2.5FPGA部分 (9)

2.6D/A转换部分 (10)

2.6.1 DAC0832转换器简介 (11)

2.7滤波电路 (12)

第三章FPGA系统模块设计及仿真 (13)

3.1频率寄存器模块设计 (14)

3.2DDS模块设计 (15)

3.2.1 32位加法器 (16)

3.2.2 相位寄存器 (17)

3.3波形产生模块设计 (18)

3.3.1正弦波形ROM (18)

3.3.2方波模块 (19)

3.3.3锯齿波模块 (20)

3.4调幅模块设计 (21)

第四章系统调试 (23)

4.1调试 (23)

4.2仿真结果 (23)

结论 (25)

[参考文献] (26)

附录 (27)

致谢 (32)

第一章绪论

1.1 背景与意义

在电子技术领域,常常需要波形、频率、幅度都可调的电信号,用于产生这种电信号的电子仪器称作函数(信号)发生器。

函数发生器是一种常用的信号源,广泛运用于科学研究、生产实践和教学试验等领域。特别是在通信系统的科研实验中,常常需要用到不同频率和幅度的信号,如正弦波、三角波、方波和锯齿波等。作为一种为电子测量和计量提供电信号的设备,它和万用表、示波器、频率计等仪器一样,是最普通、最基本,也是运用最广泛的电子仪器之一,几乎所有电参量的测量都需要用到函数发生器。

传统的波形发生器多采用模拟分立元件实现,产生的波形种类要受到电路硬件的限制,体积大、灵活性和稳定性也相对较差。近年来,以数字技术为基础的数字信号发生器得到了飞速的发展,性能指标都达到了一个新的水平。现场可编程门阵列器件具有容量大、运算速度快、现场可编程等优点,使得许多复杂的电路有了新的实现途径,越来越被广泛地应用到实际系统中。而且随着当今电子系统的越来越复杂,毫无疑问,数字信号发生器正在成为模拟复杂信号的事实标准。

凡是能产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。

信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表进行测量的参数。信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。

1.2 国内外发展现状

采用可变时钟和计数器寻址波形存储器的任意波形发生器在一段时期内曾得到广泛的应用,其取样时钟频率较高且可调节,然而这种波形发生器对硬件要求比较高,需要高性能的锁相环和截止频率可调的低通滤波器,且频率分辨率低,频率切换速度较慢,已经逐步退出市场。

目前市场上的数字信号发生器主要采用直接数字合成(Direct Digital

Synthesuzer,DDS)技术,这种波形发生器不仅可以产生可变频的载频信号、各种调制信号,同时还能和计算机配合产生用户自定义的有限带宽的任意信号,可以为多领域的测试提供宽带宽、高分辨率的测试信号。

从目前发展状况来看,国外数字信号发生器的研制和生产技术已经较为成熟。以安捷伦(Agilent)和泰克(Tektronix)为代表的国际电子测量仪器公司在此领域进行了卓有成效的研究和开发,其产品无论在技术上还是市场占有率方面在国际上都享有盛誉,但其价格也相当昂贵,高端型号每台价格都在几万美金左右,低端的也要几万人民币。Tektronix公司的独立结构任意波形发生器AFG3000系列功能完善,人机界面友好,操作方便,可以以多种方式连接到PC机上,其最高采样率能达到2GS/s,输出正弦信号最高频率为240MHz,任意波频率最高能达到50MHz,并配备的强大的波形编辑软件ArbExpress,用户可以方便地创建和编辑自己的波形。Agilent 公司的PXI模块任意波形发生器采样率已经能达到1.25GS/s,最高输出频率500MHz。我国研制任意波形发生器是从上世纪90年代开始的,近年来有一批本土厂商奋起直追,取得了可喜的成果。例如南京盛普科技电子有限公司的SPF120型信号发生器的主波输出频率达到了120MHz,任意波最高频率为100KHz;北京普源精电科技有限公司(RIGOL)生产的DG1000/2000/3000系列任意波形发生器,在性能上已经大略相当于国外中低端产品。

本课题的主要研究内容是参考直接数字频率合成原理(DDS)技术,利用Quartus II 软件作为平台,Verilog HDL语言作为开发语言,基于FPGA配合相应外围电路实现一个函数发生器,其电路结构简单,容易扩展,具有极大的灵活性和方便性,实现了产生频率、幅度可调的正弦波、方波信号的信号发生器。

1.3 FPGA简介

数字集成电路从产生到现在,经过了早期的电子管、晶体管、小中规模集成电路,到大规模、超大规模集成电路(VLSIC)以及许多既有特定功能的专用集成电路的发展过程。但是,随着为电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(Application Special Integrated Circuit, ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(Field Programmable Logic Device, FPLD),其中应用最广泛的当属CPLD和FPGA。

CPLD是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称。两者的功能基本相同,只是实现原理略有不同,但有时可以忽略这两者的区别。不同厂家对可编程逻辑器件的叫法也不尽相同。Altera公司把自己的可编程逻辑器件产品中的MAX 系列(乘积项技术,EEPROM技术)、FLEX系列(查找表技术,SRAM工艺)都叫做CPLD;而把也是SRAM工艺、基于查找表技术、要外挂配置用的FLEX系列的EPROM叫做FPGA。

早期的可编程逻辑器件都属于低密度PLD(Programmable Logic Device),结构简单,设计灵活,但规模小,难以实现复杂的逻辑功能。1985年Xilinx公司首先推出了现场可编程门阵列FPGA,这是一种新型的高密度PLD,采用CMOS-SRAM工艺制作,其结构和阵列型PLD不同,内部由许多独立的可编程模块组成,逻辑模块之间可以灵活地相互连接,具有密度高、编程速度快,设计灵活和可再配置设计能力等许多优点。

FPGA一般由6部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。

1.4 Verilog HDL简介与QuartusⅡ简介

Verilog HDL是一种硬件描述语言,于1995年被接纳为IEEE标准,标准编号为IEEE Std 1364-1995。Verilog HDL可用于从算法级、门级到开关级的多种抽象层次的数字系统建模。它使各种设计工具(包括验证仿真、时序分析、测试分析以及综合)能够在多个抽象层次上以标准文本格式描述数字系统,简单、直观并富有效率。Verilog HDL包含了丰富的内建原语,包括逻辑门、用户定义的原语、开关以及线逻辑。它还具有器件管脚间的时延和时序检查功能。从本质上讲,Verilog所具有的混合抽象层次由两种数据类型所提供,这两种数据类型是线网(net)和变量

(variable)。对于连续赋值,变量和线网的表达式能够连续地将值驱动到线网,它提供了基本的结构级建模方法。对于过程赋值,变量和网络值的计算结果可以存储于变量当中,它提供了基本的行为级建模方法。

一个用Verilog HDL描述的设计包含一组模块,每一个模块都包含一个I/O接口和一个功能描述。模块的功能描述可以是结构级的、行为级的、也可以是结构级和行为级的混合。这些模块组成一个层次化结构并使用线网进行互连。一个完整的VerilogHDL设计模块包括端口定义、I/O声明、信号类型声明和功能描述四部分。

Verilog语言可以通过使用编程语言(Programming Language Interface,PLI)和Verilog程序接口(Verilog Procedural Interface,VPI)进行扩展。PLI/VPI是一些例程的集合,它使得外部函数能够访问包含在Verilog HDL描述内部的信息,推动了与仿真之间的动态交互。PLI/VPI的应用包括将Verilog HDL仿真器与其它仿真和CAD 系统、用户定制的调试任务、时延计算以及标注器相连接。

Quartus II简介

Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VerilonHDL、VHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。

Altera QuartusII作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

第二章 基于FPGA 的系统设计

2.1 函数发生器的整体设计

该函数发生器硬件电路主要由时钟电路、FPGA 部分、D/A 转换部分、滤波电路。如图1所示。

图1 硬件设计框图

其中FPGA 部分工作原理框图如图2,可实现FPGA 实现的主要功能是:(1)保存频率控制字,并构成相位累加器,用相位累加器输出信号产生波形;(2)用内部存储模块构成存放正弦波数据的ROM 数据表;(3)实现乘法器设计,幅度控制字输入,用于波形的幅度调节。

图2 FPGA 部分工作原理框图

相位累加器

调幅乘法器

正弦波形数据存储

频率字寄存器

时钟信号 频率控制字

幅度控制字

波形输出

方波、锯齿波模块

D/A 转换

滤波输出

时钟

复位 EP2C35F672C8

FPGA 部分

键盘

2.2 DDS工作原理

DDS与大多数的数字信号处理技术一样,它的基础仍然是奈圭斯特采定理。奈圭斯特采样定理是任何模拟信号进行数字化处理的基础,它描述的是一个带限的模拟信号经抽样变成离散序列后可不可以由这些离散序列恢复出原始模拟信号的问题。

奈圭斯特采样定理告诉我们,当抽样频率大于或者等于模拟信号最高频率的两倍时,可以由抽样得到的离散序列无失真地恢复出原始模拟信号。只不过在DDS 技术中,这个过程被颠倒过来了。DDS不是对模拟信号进行抽样,而是一个假定抽样过程已经发生且抽样值已经量化完成,如何通过某种方法把已经量化的数值重建原始信号的问题。

DDS电路一般由参考时钟、相位累加器、波形存通滤波器(LPF)组成。其结构如图3所示。

图3 DDS基本结构框图

其中,fc为参考时钟频率,K为频率控制字,N为相位累加器位数,A为波形存储器地址位数,D为波形存储器的数据位字长和D/A转换器位数。DDS系统中的参考时钟通常由一个高稳定度的晶体振荡器来产生,用来作为整个系统各个组成部分的同步时钟。下面主要讲解频率控制字,相位累加器,波形存储器的工作原理。

2.2.1频率控制字

频率控制字(Frequency Control Word,FCW)实际上是二进制编码的相位增量值,它作为相位累加器的输入。

2.2.2相位累加器

相位累加器由加法器和寄存器级联而成,它将寄存器的输出反馈到加法器的输入端实现累加的功能。在每一个时钟脉冲fc,相位累加器把频率字K累加一次,累加器的输出相应增加一个步长的相位增量,由此可以看出,相位累加器的输出数据实质上是以K为步长的线性递增序列(在相位累加器产生溢出以前),它反映了合成信号的相位信息。相位累加器的输出与波形存储器的地址线相连,相当于对波形

存储器进行查表,这样就可以把存储在波形存储器中的信号抽样值(二进制编码值)查出。在系统时钟脉冲的作用下,相位累加器不停的累加,即不停的查表。波形存储器的输出数据送到D/A 转换器,D/A 转换器将数字量形式的波形幅度值转换成一定频率的模拟信号,从而将波形重新合成出来。若波形存储器中存放的是正弦波幅度量化数据,那么D/A 转换器的输出是近似正弦波的阶梯波,还需要后级的低通平滑滤波器进一步抑制不必要的杂波就可以得到频谱比较纯净的正弦波信号。图4所示为DDS 各个部分的输出信号。

由于受到字长的限制,相位累加器累加到一定值后,就会产生一次累加溢出,这样波形存储器的地址就会循环一次,输出波形循环一周。相位累加器的溢出频率即为合成信号的频率。可见,频率控制字K 越大,相位累加器产生溢出的速度越快,输出频率也就越高。故改变频率字(即相位增量),就可以改变相位累加器的溢出

时间,在参考频率不变的条件下就可以改变输出信号的频率。

图4 DDS 各部分输出波形

2.2.3波形ROM

ROM 表完成将累加器相位信息转换为幅值信息的功能。再由D/A 完成数字抽样信号到连续时域信号的转换,D/A 输出的台阶信号再经低通滤波器平滑可以得到精确的连续正弦信号波形。波形ROM 示意图如图5所示。

图5 波形ROM 示意图

用相位累加器输出的数据作为波形存储器的相位取样地址,这样就可以把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A 转换器,D/A 转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。 2.3设计原理及要求

在本设计中,利用FPGA ,采用EDA(Electronic Design Automation)中自顶向下

数据

地址 波形ROM

(top-to-down)的设计方法,选用基于相位累加器的直接数字合成/ DDS技术来完成数字信号发生器各功能模块的设计。DDS这种结构主要由相位累加器、相位寄存器、波形ROM 查找表、D/ A 构成。其中相位累加器、相位寄存器、波形ROM 查找表是DDS 结构中的数字部分,由于具有数控频率合成的功能,又合称为NCO[2]。

它的工作原理是: 本系统是在基于DDS技术的基础上,产生3种信号波形,分别为正弦波、方波、锯齿波。其中,正弦波采用查找表法产生其基本波形。方波以DDS相位累加器的溢出信号为输入,计算得出其基本波形。锯齿波以DDS相位累加器输出信号的高8位为输入,得到其基本波形。本系统通过输入频率控制字控制输出波形的频率实现调频功能;通过改变乘法器的倍乘输入数据,控制波形幅度的改变,实现调幅功能。

本设计主要通过Verilog HDL语言实现频率控制、波形控制、波形数据的提取、波形的产生工作。其中,波形数据运用Verilog HDL语言编写。控制部分主要采用产生高低电平的拨码开关控制。程序下载到FPGA 上实现,经过D/ A 输出波形。并通过Altera公司QuartusII5.1软件进行波形的仿真,从而完成整个设计。

本设计的任务是设计一个基于FPGA的函数发生器,根据任务书要求必须达到以下要求:

1.利用EDA开发系统、Quartus II 5.1软件实现函数发生器的设计;

2.根据整体电路的工作原理,完成各个子模块的设计及实现;

3.对函数发生器完成Verilog HDL语言描述;

4.该函数发生器能够产生正弦波、方波信号、锯齿波;

5.产生的波形信号频率和幅度可进行调节;

2.4系统时钟电路

系统即FPGA运行时所需的时钟,采用50MHZ的有源晶振产生,电路如图6所示。其中C5为高频旁路电容,以滤除不良的高档次谐波,对时钟波形进行整形,CLK1为输入时钟信号源。

图6系统时钟电路

2.5 FPGA 部分

由于FPGA 部分原理框图在上文已介绍这里介绍FPGA 模块设计如图7所示。

图7 FPGA 设计模块图

整个设计有一个顶层模块设计,按照功能要求划分为三个模块,即DDS 控制模块、波形产生模块、调幅模块。函数信号发生器的波形产生及调频功能,主要是由用FPGA 设计的DDS 模块控制的,其由加法器及相位寄存器构成的相位累加器和ROM 数据表构成。

本系统采用Altera 公司生产的FPGA 器件Cyclone-II 系列芯片EP2C35F672C8,该芯片存储器密度为33216个逻辑单元(LE ),总的RAM 空间为483840位,包括了18×18位乘法器,105个M4K RAM 块,有16个全局时钟网络,内嵌4个锁相环电路,最大用户I/O 引脚数为475个,并且支持多种不同的单端和高速差分I/O 标准。其内部结构如图8所示。

顶层模块

波形产生模块 DDS 控制模块 调幅模块

32位相位累加器

正弦ROM

频率控制

字输入 方波

锯齿波

G N D

TMS G N D

GND VCC

V C C

IO_10V C C

IO_2GND

V C C

VCC IO_9IO_1IO_13EPF10K50ETI144-2

1234567891011121314151617181920212223242526272829303132

333435363738394041424344454647484950515253

54

555657585960616263646566676869707172737475

767778798081828384I N P U T /G L C R n I N P U T /O E 2/G C L K 2V C C I N T I /O I /O I /O G N D I /O I /O I /O I /O I/O

VCCIO I/O/TDI I/O I/O I/O I/O GND I/O I/O I/O

I/O/TMS I/O I/O

VCCIO I/O I/O I/O I/O I/O GND I /O I /O I /O I /O I /O V C C I O I /O I /O I /O G N D V C C I N T I /O I /O I /O G N D I /O I /O I /O I /O I /O V C C I O

I/O

I/O I/O I/O I/O GND I/O I/O I/O/TCK

I/O

I/O I/O VCCIO

I/O I/O I/O I/O I/O/TDO

GND I/O I/O I /O

I /O I /O V C C I O I /O I /O I /O G N D I N P U T /G C L K 1I N P U T /O E 1IO_6IO_11V C C

IO_5IO_8GND

IO_12IO_15

VCC IO_0GND IO_3TDI IO_7TDO IO_14IO_4C L K 1

图8 EP2C35F672C8芯片结构图

通常情况下在硬件调试的过程中一般使用下载电缆进行下载,而当调试完成以后要用配置芯片对FPGA 进行配置。配置芯片在每次系统上电以后自动将配置文件加载到FPGA 中形成电路。

2.6 D/A 转换部分

D/A 转换器电路的设计:从波形RAM 中读出的幅度量化数据还只是一个数字信号,要得到最后的输出信号必须经过数模转换器。因此在波形RAM 之后要设计一个D/A 转换电路。

数模(D/A )转换电路的作用是把已经合成的波形幅值的数字量转换成模拟量,其速度和特性直接影响整个系统的性能。D/A 转换器件的首要特性要求是高速,其次是转换位数,本设计中选用8位的D/A 芯片DAC0832。波形幅度量化序列经D/A 转换后成为阶梯波。频率合成器对D/A 转换器的分辨率有一定的要求,D/A 转换器的分辨率越高,合成的波形台阶数就越多输出的波形的精度也就越高。D/A 的输出用电压形式表示一般应为:

REF N out V D

V 2

-

= 2-1 式中D 为D/A 的输入数据值,N 为D/A 的的位数,即通常所指的D/A 的分辨

率,V REF 为输入D/A 的参考电压。D/A 转换电路的设计首先是要选择一款合适的D/A 转换芯片。D/A 转换芯片种类繁多。选择D/A 转换芯片要根据很多因素来确定,最主要的就是要考虑字长和转换速度。本设计采用DAC0832 作为D/A 转换器件,

其具有数字量的输入锁存功能,DAC0832芯片的输出通过放大器OP07,即可用示波器观察。100KΩ的电位器在+5V 和0V 电压间为DAC0832提供参考电压。D/A 转换电路的原理图如图9所示:

IO_15

VCC

24

3

1SIGOUT

IO_11IO_8

IO_13U1

DAC083212119198765416151413

117

182

Iout2Iout1Rf b ILE Vref DI0DI1DI2DI3DI4DI5DI6DI7

CS X f er

WR2WR1

GND

IO_10VEE +5V

DAC 模拟输出口

IO_14IO_9IO_12R1100K

1

32+

-U2

OP07

3

26

7

4

VCC -5V

图9 D/A 转换电路的原理图

2.6.1 DAC0832转换器简介

DAC0832是双列直插式8位D/A 转换器。能完成数字量输入到模拟量(电流)输出的转换。其主要参数如下:分辨率为8位,转换时间为1μs ,满量程误差为±1LSB ,参考电压为(+10~-10)V ,供电电源为(+5~+15)V ,逻辑电平输入与TTL 兼容。从图10中可见,在DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的允许锁存信号为ILE ,第二级锁存器称为DAC 寄存器,它的锁存信号也称为通道控制信号XFER 。

图10 DAC0832引脚图

图10中,当ILE 为高电平,片选信号CS 和写信号WR1为低电平时,输入寄存器控制信号为1,这种情况下,输入寄存器的输出随输入而变化。此后,当WR1由低电平变高时,控制信号成为低电平,此时,数据被锁存到输入寄存器中,这样输入寄存器的输出端不再随外部数据DB 的变化而变化。

对第二级锁存来说传送控制信号XFER 和写信号WR2同时为低电平时,二级锁存控制信号为高电平,8位的DAC 寄存器的输出随输入而变化,此后,当WR2由低电平变高时,控制信号变为低电平,于是将输入寄存器的信息锁存到DAC 寄存器中。

2.7滤波电路

滤波是信号处理中的一个重要概念。滤波分经典滤波和现代滤波。经典滤波的概念,是根据傅里叶分析和变换提出的一个工程概念。根据高等数学理论,任何一个满足一定条件的信号,都可以被看成是由无限个正弦波叠加而成。换句话说,就是工程信号是不同频率的正弦波线性叠加而成的,组成信号的不同频率的正弦波叫做信号的频率成分或叫做谐波成分。只允许一定频率范围内的信号成分正常通过,而阻止另一部分频率成分通过的电路,叫做经典滤波器或滤波电路。

由于在电路运行过程中间,外部信号会对波形产生一定的干扰,而且从D/A转化部分中转换出的波形是一种不平滑的阶梯波形,因此为了防止外部信号的干扰和内部不平滑阶梯波的干扰,本次设计中增加了无源滤波中的LC滤波电路,目的在于消除外部信号的干扰,同时能够把从D/A转换部分转换出的阶梯波形通过滤波转变为平滑的正弦波、方波,其滤波电路如图11所示,SIGOUT输入信号来自DAC的输出,JP1的输出接示波器。

L1 SIGOUT

JP1

1

2 C1

0.1uF

+C3

10uF

C2

0.01uF

图11 滤波电路图

第三章FPGA系统模块设计及仿真

系统整体原理图如图12所示。系统共有5个输入信号:时钟信号、复位信号、频率控制字、频率锁存信号、调幅信号,3个输出信号分别是正弦波输出、方波输出、锯齿波。本系统实现信号波形输出,方便调频、调幅。

输入信号端口说明如下:

clk:时钟信号,为系统提供50MHZ的时钟信号。

clr:复位信号,低电平有效。

Fcw[31..0]:频率控制字,控制输出波形频率,实现系统的调频功能。

loadCLK:频率锁存信号,上升沿到来时刻,对频率控制字进行锁存后,将其送入DDS模块,经相位累加,实现频率合成。

mult_param[7..0]:调幅信号,实现波形的幅度调节。此信号用8位二进制表示,高2位为整数部分,低6位为小数部分。

输出信号端口说明如下:

DA1[9..0]:正弦波形输出。

DA2[9..0]:方波波形输出。

DA3[9..0]:锯齿波波形输出。

函数信号产生过程:频率控制字和频率锁存信号进入频率寄存器模块后,锁存频率控制字,将频率控制字送入DDS模块,进行相位累加,实现频率合成。再经过32位加法器以频率控制字为步长进行累加,再经过相位寄存器取累加和高10位作为ROM数据表的地址,表中相应地址存储对应波形数据。溢出信号作为方波模块的输入,最高位,溢出时,输出高电平1,否则输出低电平0,送入方波产生模块。锯齿波以DDS相位累加器输出信号的高8位为输入,得到其基本波形。方波和锯齿波锯齿波模块产生相应波形,正弦波通过ROM查表法和程序产生。然后三个波形均进入调幅模块,由乘法器通过幅度控制字(8位二进制表示,高2位为整数部分,低6位为小数部分)来调节信号幅度。系统还可以由频率控制字的改变来改变波形的频率。

图12系统整体原理图

3.1频率寄存器模块设计

该模块主要功能是锁存频率控制字,loadCLK信号上升沿到来时刻,锁存频率控制字,将频率控制字送入DDS模块,进行相位累加,实现频率合成,确定输出波形频率。该模块的结构框图如图13所示。

图13 频率寄存器模块结构框图

各端口说明如下:

clr:复位信号,低电平有效。

Fcw[31..0]:频率控制字输入。

loadCLK:频率锁存信号,上升沿时刻锁存频率控制字。

Q[31..0]:频率控制字输出,送入DDS模块,确定输出波形频率。

频率寄存器模块功能设计的VerilogHDL程序如下:

module D_FFbe (clr,D,loadCLK,Q);//add before adder//

input clr;

input [31:0] D;

input loadCLK;

output [31:0] Q;

reg [31:0] Q;

always @(posedge loadCLK or negedge clr)

Q<=(!clr)?31'd0:D;

Endmodule

在软件工具Quartus II的编译和波形仿真后得到的波形如图14所示。

图14频率寄存器模块仿真波形图

3.2 DDS模块设计

DDS模块主要功能是由频率控制字合成所要产生的波形频率,并且产生ROM 波形数据表的的地址。DDS核心模块由32位加法器和相位寄存器构成,加法器输入为前一次的累加和与频率控制字,累加后结果送入相位寄存器。相位寄存器将累加和送回加法器输入端用于下一次计算,取高10位作为ROM地址,溢出信号作为方波模块的输入。

该模块主要功能是实现相位累加,以频率控制字为步长进行累加,最终得到ROM数据表的地址。该模块的结构框图如图15所示。

图15 32位加法器

各端口说明如下:

Fcw[31..0]:频率控制字。

add[31..0]:相位寄存器值,保存累加值。

SUM[31..0]:频率控制字与相位寄存器值的累加和,累加和送入寄存器中。

32位加法器模块功能设计的VerilogHDL部分程序如下(详细程序见附录):module adder2 (A,B,CY_IN,SUM,CY_OUT);

input A,B,CY_IN;

output SUM,CY_OUT;

assign SUM=A^B^CY_IN;

assign CY_OUT=(A&B)|(A&CY_IN)|(B&CY_IN);

endmodule

在软件工具Quartus II的编译和波形仿真后得到的波形如图16所示。

图16 32位加法器功能仿真

该模块主要功能是寄存上一次相位累加和,取累加和高10位作为ROM数据表的地址。输出累加和送回加法器,同时输出溢出信号。该模块的结构框图如图17所示。

图17 相位寄存器

各端口说明如下:

clr:复位信号,低电平有效。

CLK:系统时钟信号,频率为50MHZ.。

D[31..0]:32位加法器输出值。

Q[31..0]:上一次加法器累加和数值,送入加法器中进行运算。

dram[9..0]:取累加和高10位为ROM表地址,表中相应地址存储对应波形数据。

over:溢出标志位,即最高位,溢出时,输出高电平1,否则输出低电平0,送入方波产生模块。

相位寄存器模块功能设计的VerilogHDL程序如下:

module DFFAF2 (clr,CLK,D,Q,dram,over);

input clr,CLK;

input [31:0] D;

output [31:0] Q;

output [9:0] dram;

output over;

reg [31:0] Q;

reg [9:0] dram;

reg over;//use 'over'to control cnt16

always @(posedge CLK or negedge clr)

begin

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

函数信号发生器的使用方法规定

函数信号发生器的使用方法规定 1、目的:为操作人员作操作指导。 2、范围:适用于函数信号发生器操作人员。 3、操作步骤: 3.1注意事项 仪器在只使用“电压输出端”时应将“输出衰减”开关置于“0dB”~“80dB”内的位置,以免功率指示电压表指示过大而损坏。 3.2使用方法 3.2.1开机:在未开机前应首先检查仪器外接电源是否为交流220V±10%,50Hz±5%, 并检查电源插头上的地线脚应与在地接触良好,以防机壳带电。面板上的电源开关 应放在“关”位置,“电平调节”旋钮置中间,输出衰减旋钮置“0dB”,频段开关设 置在你所需要的频段。 3.2.2频率选择:首先将频段开关设置在你所期望的频率范围内,然后调节频率调谐旋钮 和频率微调旋钮,至数码管上指示你所需要的频率为止。 3.2.3波形选择:波形开关在“~”位置,可在电压输出端获得全频段的电压正弦信号,在 功率输出端可获得20Hz~100kHz的功率输出;波形开关在“”位置,在电压输 出端可获得全频段的电压方波信号。输出衰减在功率输出端8Ω档同样可以获得 20Hz~100kHz的方波功率输出。 3.2.4输出电压调整:电压输出端的输出电压可通过“电平调节”旋钮连续可调。 3.2.5功率输出调整:功率输出端的输出同由“电平调节”旋钮控制调节,并可通过“输 出衰减”进行80 dB的衰减。“输出衰减”控制开关上有8Ω和600Ω二档匹配档, 用以匹配低阻和较高负载以获取最大输出功率。 3.2.6功率的平衡输出:本仪器600Ω功率输出档可进行平衡输出,方法是可将面板上中间 红色接线柱和黑色接线柱之间的接地片取下,接在两个红色接线柱上即可,但本仪器连接的其它仪器也应不接在“地”电位。

函数信号发生器

函数信号发生器 函数信号发生器 作者:华伟锋卞蕊樊旭超 2013-8-8

函数信号发生器 摘要 直接数字频率合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通信等领域有着广泛的应用前景。本文介绍了DDS(直接数字频率合成)的基本原理和工作特点,提出以DDS芯片AD9850芯片为核心利用MSP430F5438单片机控制,辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能产生标准的正弦波、方波与三角波(锯齿波),波形可手动切换,频率步进可调,软件系统采用菜单形式进行操作,LCD液晶显示可实时显示输出信号的类型、幅度、频率和频率步进值,操作方便明了,还增加了很多功能。 关键词:AD9850;信号发生器;MSP430F149单片机;DDS;LCD液晶; Abstact:Direct Digital Synthesis (DDS) is an important frequency synthesizer technology, with high resolution, fast frequency conversion, etc., in radar and communications and other fields have a wide range of applications. This article describes the DDS (direct digital frequency synthesis) of the basic principles and work, we proposed to DDS chip AD9850 chip as the core using MSP430F5438 MCU control, supplemented by the necessary peripheral circuits to form a stable output waveform, high precision signal generator . The signal generator can generate standard primary sine wave, square wave and triangular wave (sawtooth), the waveform can be manually switched, frequency step adjustable software system used to operate the menu form, LCD liquid crystal display can be real-time display of the output signal type , amplitude, frequency and frequency step value, easy to understand, but also adds a lot of functionality. Key words:AD9850; signal generator; MSP430F5438MCU; DDS; LCD liquid crystal;

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

函数信号发生器

课程设计(论文) 课程名称:模拟电子技术基础课程设计 题目名称:函数信号发生器 姓名: 学号 班级: 专业:电子信息科学与技术 设计时间:2011-2012-1学期15、16周 教师评分: 2011 年 12 月11 日

目录 1设计的目的及任务 (1) 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (3) 2 电路设计总方案及各部分电路工作原理 (3) 2.1 电路设计总体方案............................................................( 3)2.2 正弦波发生电路的工作原理 (3) 2.3 正弦波---方波工作原理 (4) 2.4 方波---三角波工作原理 (5) 2.5 三角波---正弦波工作原理 (7) 3 电路仿真及结果 (8) 3.1 仿真电路图及参数选择 (8) 3.2 仿真结果及分析 (9) 4收获与体会 (13) 5 仪器仪表明细清单 (13) 6 参考文献 (14)

一、 设计的目的及任务 1.1 课程设计的目的: 1、 熟悉简易信号发生器的电路结构及电路原理,并掌握特定波形 的转换。 2、学习以及熟练运用multisim 工具。 1.2 课程设计的任务与要求 1、 设计一函数信号发生器,能输出特定频率(1kHz )的正弦波(两 个波形)、方波和三角波共四种波形。振幅固定,如-5V 到+5V 之间。 2、 拓展项(可选): 频率可调,锯齿波 脉冲波。 二、 电路设计总方案及各部分电路工作原理 三、 2.1 电路设计总体方案 积分电路 低通滤波

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

函数信号发生器使用说明

EE1641C~EE1643C型 函数信号发生器/计数器 使用说明书 共 11 张 2004年 10 月

1 概述 1.1 定义及用途 本仪器是一种精密的测试仪器,因其具有连续信号、扫频信号、函数信号、脉冲信号等多种输出信号,并具有多种调制方式以及外部测频功能,故定名为EE1641C型函数信号发生器/计数器、EE1642C(EE1642C1)型函数信号发生器/计数器、EE1643C型函数信号发生器/计数器。本仪器是电子工程师、电子实验室、生产线及教学、科研需配备的理想设备。 1.2 主要特征 1.2.1 采用大规模单片集成精密函数发生器电路,使得该机具有很高的可靠性及优良性能/价格比。 1.2.2 采用单片微机电路进行整周期频率测量和智能化管理,对于输出信号的频率幅度用户可以直观、准确的了解到(特别是低频时亦是如此)。因此极大的方便了用户。 1.2.3 该机采用了精密电流源电路,使输出信号在整个频带内均具有相当高的精度,同时多种电流源的变换使用,使仪器不仅具有正弦波、三角波、方波等基本波形,更具有锯齿波、脉冲波等多种非对称波形的输出,同时对各种波形均可以实现扫描、FSK调制和调频功能,正弦波可以实现调幅功能。此外,本机还具有单次脉冲输出。 1.2.4 整机采用中大规模集成电路设计,优选设计电路,元件降额使用, 以保证仪器高可靠性,平均无故障工作时间高达数千小时以上。 1.2.5 机箱造型美观大方,电子控制按纽操作起来更舒适,更方便。 2 技术参数 2.1 函数信号发生器技术参数 2.1.1 输出频率 a) EE1641C:0.2Hz~3MHz 按十进制分类共分七档 b) EE1642C:0.2Hz~10MHz 按十进制分类共分八档 c) EE1642C1:0.2Hz~15MHz 按十进制分类共分八档 d) EE1643C:0.2Hz~20MHz 按十进制分类共分八档 每档均以频率微调电位器实行频率调节。 2.1.2 输出信号阻抗 a) 函数输出:50Ω b) TTL同步输出:600Ω 2.1.3 输出信号波形 a) 函数输出(对称或非对称输出):正弦波、三角波、方波 b) 同步输出:脉冲波 2.1.4 输出信号幅度 a) 函数输出:≥20Vp–p±10%(空载);(测试条件:fo≤15MHz,0dB衰减) ≥14Vp–p±10%(空载);(测试条件:15MHz≤fo≤20MHz,0dB衰减) b) 同步输出:TTL电平:“0”电平:≤0.8V,“1”电平:≥1.8V(负载电阻≥600Ω) CMOS电平:“0”电平:≤4.5V,“1”电平:5V~13.5V可调(fo≤2MHz) c) 单次脉冲:“0”电平:≤0.5V,“1”电平:≥3.5V 2.1.5 函数输出信号直流电平(offset)调节范围:关或(–10V~+10V)±10%(空载) [“关”位置时输出信号所携带的直流电平为:<0V±0.1V,负载电阻为:50Ω时,调节范围为 (–5V~+5V)±10%]

函数信号发生器的设计与实现 (1)资料

计算机与信息学院 电子信息工程系综合课程设计报告 专业班级 电子信息工程11-2班 学生姓名及学号 陈雪莹20112661 指导教师 方静 课题名称 函数信号发生器 2013~2014 学年第三学期

函数信号发生器的设计与实现 一.课题的基本描述 在科学研究和实际工业测量控制系统开发过程中,方波、三角波和正弦波等是常用的基本测试信号,函数信号发生器就是用来产生、模拟这些真实信号源的通用电子设备。本课题要求设计一种以单片机为控制器的简易函数信号发生器,包含:主控电路、D/A转换电路、按键和波形选择电路以及显示输出电路,可以输出正弦波、三角波和方波三种信号,输出信号的频率可用按键进行增、减调整,并在LCD(12864)实时显示输出波形。 二.设计的基本要求 1. 正弦波、三角波频率调节范围:0.1-50HZ 输出幅值:1.0-1.5V 方波频率调节范围:1Hz-1KHz 输出幅值:5V 2.通过按键选择输出信号类型,幅值、频率等相关指标; 3. 具有显示输出波形的频率和幅度的功能。 三.技术方案及关键问题 (1).总体方案: 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置按键、数模转换及波形输出等部分,即可构成所需的函数信号发生器。因此本系统利用单片机AT89C51采用程序设计方法产生三角波、正弦波、矩形波三种波形,再通过D/A转换器PCF8591T将数字信号转换成模拟信号,最终由液晶屏12864显示出来。通过按键来控制三种波形的类型选择、频率和幅度的变化,并通过数码管显示其各自的类型,液晶屏显示幅度和频率的大小。系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分。

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

函数信号发生器

基于labview的函数信号发生器的设计 [摘要] 介绍一种基于labvIEW环境下自行开发的虚拟函数信号发生器,它不仅能够产 生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以通过输入公式,产生测试和研究领域所需要的特殊信号。对任意波形的发生可实现公式输入;对信号频率、幅度、相位、偏移量可调可控;方波占空比可以调控;噪声任意可加、创建友好界面、信号波形显示;输出频谱特性;所有调制都可微调与粗调。该仪器系统操作简便,设计灵活,功能强大,可以完成不同环境下的测量要求。因此具有很强的实用性。 关键词:虚拟仪器,labvIEW,虚拟函数信号发生器,正弦波,三角波,方波,锯齿波, 特殊信号。 引言: 在有关电磁信号的测量和研究中,我们需要用到一种或多种信号源,而函数信号发生器则为我们提供了在研究中所需要的信号源。它可以产生不同频率的正弦波,方波,三角波,锯齿波,正负脉冲信号,调频信号,调幅信号和随机信号等。其输出信号的幅值也可以按需要进行调节。传统信号发生器种类繁多,价格昂贵,而且功能固定单一,不具备用户对仪器进行定义及编程的功能,一个传统实验室很难拥有多类信号发生器。然而,基于虚拟仪器技术的实验室均能满足这一要求。 1、虚拟仪器简介: 自从1986年美国NI(National Instrument)公司提出虚拟仪器的概念以来,随着计 算机技术和测量技术的发展,虚拟仪器技术也得到很快的发展。虚拟仪器是指:利用现有的PC机,加上特殊设计的仪器硬件和专用软件,形成既有普通仪器的基本功能,又有一般仪器所没有的特殊功能的新型仪器。与传统的仪器相比其特点主要有:具有更好的测量精度和可重复性;测量速度快;系统组建时间短;由用户定义仪器功能;可扩展性强;技术更新快等。虚拟仪器以软件为核心,其软件又以美国NI公司的Labview虚拟仪器软件开发平台最为常用。Labview是一种图形化的编程语言,主要用来开发数据采集,仪器控制及数据处理分析等软件,功能强大。目前,该开发软件在国际测试、测控行业比较流行,在国内的测控领域也得到广泛应用。函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。下面结合一个虚拟函数信号发生器设计开发具体介绍基于图形化编程语言Labview的虚拟仪器编程方法与实现技术。 2、虚拟函数信号发生器的结构与组成 2.1 虚拟函数信号发生器的前面板

基于51单片机函数信号发生器设计

摘要:本系统利用单片机AT89S52采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产1Hz—3kHz的波形。通过键盘来控制三种波形的类型选择、频率变化,并通过液晶屏1602显示其各自的类型以及数值,系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。 关键词:单片机AT89S52、DAC0832、液晶1602 Abstract: this system capitalize on AT89s52,it makes use of central processor to generate three kinds of waves, they are triangle wave, and use D/A conversion module, wave generate module and liquid crystal display of 1602, it can have the 1Hz-3KHz profile. In this system it can control wave form choosing, frequency, range,can have the sine wave, the square-wave, the triangular wave. Simultaneously may also take the frequency measurement frequency,and displays them through liquid crystal display of 1602.this design includes three modules. They are D/A conversion module, wave generate module and liquid crystal display of LED module. In this design, the wave generator into wave form module and D/A conversion module are discussed in detail. key word: AT89S52, DAC0832, liquid crystal 1602.

函数信号发生器与示波器的使用实验报告书

函数信号发生器与示波器的使用实验报告书 专业:班级:学号: 姓名:实验时间: 实验目的 1、学会数字合成函数信号发生器常用功能的设置、使用; 2、会从函数信号发生器胡频率计上读出信号频率; 3、在了解数字双踪示波器显示波形的工作原理基础上,观察 并测量以下信号:(见下表)学会数字示波器的基本操作与 读书; 实验仪器 F40函数信号发生器、UTD2102CE数字示波器、探头。 实验原理 1、函数信号发生器的原理

该仪器采用直接数字合成技术,可以输出函数信号、调频、调幅、FSK、PSK、猝发、频率扫描等信号,还具有测频、计数、任意波形发生器功能。 2、示波器显示波形原理 如果在示波器CH1或CH2端口加上正弦波,在示波器的X 偏转板加上示波器内部的锯齿波,当锯齿波电压的变化周期与 正弦波电压相等时,则显示完整的周期的正弦波形,若在示波 器CH1和YCH2同时加上正弦波,在示波器的X偏转板上加上 示波器的锯齿波,则在荧光屏上将的到两个正弦波。 实验内容 1、做好准备工作,连接实验仪器电路,设置好函数信号发生 器、示波器; (1)、把函数信号发生器的“函数输出”输出端与示波器的 X CH1信号输入端连接,两台仪器的接通220V交流电源。 (2)、启动函数信号发生器,开机后仪器不需要设置,短暂 时间后,即输出10K Hz的正弦波形。 (3)、需要信号源的其他信号,到时在进行相关的数据设定 (如正弦波2的波形、频率、点频输出、信号幅度)等。 2、用示波器观察上表中序号1的信号波形(10KHz);过程如下: (1)、打开示波器的电源开关,将数字存储示波器探头连接到CH1输入端,按下“AUTO”按键,示波器将自动设置垂直偏转系数、扫描时基以及触发方式;按下CH1按键。

相关主题
文本预览
相关文档 最新文档