当前位置:文档之家› 74148(8线—3线优先编码器)

74148(8线—3线优先编码器)

74148(8线—3线优先编码器)
74148(8线—3线优先编码器)

PACKAGING INFORMATION

Orderable Device Status(1)Package

Type Package

Drawing

Pins Package

Qty

Eco Plan(2)Lead/Ball Finish MSL Peak Temp(3)

78027012A ACTIVE LCCC FK201TBD Call TI Level-NC-NC-NC

7802701EA ACTIVE CDIP J161TBD Call TI Level-NC-NC-NC

7802701FA ACTIVE CFP W161TBD Call TI Level-NC-NC-NC JM38510/36001B2A ACTIVE LCCC FK201TBD Call TI Level-NC-NC-NC JM38510/36001BEA ACTIVE CDIP J161TBD Call TI Level-NC-NC-NC JM38510/36001BFA ACTIVE CFP W161TBD Call TI Level-NC-NC-NC SN54148J OBSOLETE CDIP J16TBD Call TI Call TI

SN54LS148J ACTIVE CDIP J161TBD Call TI Level-NC-NC-NC

SN74147N OBSOLETE PDIP N16TBD Call TI Call TI

SN74148J OBSOLETE CDIP J16TBD Call TI Call TI

SN74148N OBSOLETE PDIP N16TBD Call TI Call TI

SN74148N3OBSOLETE PDIP N16TBD Call TI Call TI

SN74LS147DR OBSOLETE SOIC D16TBD Call TI Call TI

SN74LS147N OBSOLETE PDIP N16TBD Call TI Call TI

SN74LS148D ACTIVE SOIC D1640Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM

SN74LS148DE4ACTIVE SOIC D1640Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM

SN74LS148DR ACTIVE SOIC D162500Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM

SN74LS148DRE4ACTIVE SOIC D162500Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM SN74LS148J OBSOLETE CDIP J16TBD Call TI Call TI

SN74LS148N ACTIVE PDIP N1625Pb-Free

(RoHS)

CU NIPDAU Level-NC-NC-NC SN74LS148N3OBSOLETE PDIP N16TBD Call TI Call TI

SN74LS148NE4ACTIVE PDIP N1625Pb-Free

(RoHS)

CU NIPDAU Level-NC-NC-NC

SN74LS148NSR ACTIVE SO NS162000Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM

SN74LS148NSRE4ACTIVE SO NS162000Green(RoHS&

no Sb/Br)

CU NIPDAU Level-1-260C-UNLIM SNJ54148J OBSOLETE CDIP J16TBD Call TI Call TI

SNJ54148W OBSOLETE CFP W16TBD Call TI Call TI

SNJ54LS148FK ACTIVE LCCC FK201TBD Call TI Level-NC-NC-NC SNJ54LS148J ACTIVE CDIP J161TBD Call TI Level-NC-NC-NC SNJ54LS148W ACTIVE CFP W161TBD Call TI Level-NC-NC-NC (1)The marketing status values are defined as follows:

ACTIVE:Product device recommended for new designs.

LIFEBUY:TI has announced that the device will be discontinued,and a lifetime-buy period is in effect.

NRND:Not recommended for new designs.Device is in production to support existing customers,but TI does not recommend using this part in a new design.

PREVIEW:Device has been announced but is not in production.Samples may or may not be available.

OBSOLETE:TI has discontinued the production of the device.

(2)Eco Plan-The planned eco-friendly classification:Pb-Free(RoHS)or Green(RoHS&no Sb/Br)-please check

https://www.doczj.com/doc/f310004543.html,/productcontent for the latest availability information and additional product content details.

TBD:The Pb-Free/Green conversion plan has not been defined.

Pb-Free(RoHS):TI's terms"Lead-Free"or"Pb-Free"mean semiconductor products that are compatible with the current RoHS requirements for all6substances,including the requirement that lead not exceed0.1%by weight in homogeneous materials.Where designed to be soldered at high temperatures,TI Pb-Free products are suitable for use in specified lead-free processes.

Green(RoHS&no Sb/Br):TI defines"Green"to mean Pb-Free(RoHS compatible),and free of Bromine(Br)and Antimony(Sb)based flame retardants(Br or Sb do not exceed0.1%by weight in homogeneous material)

(3)MSL,Peak Temp.--The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications,and peak solder temperature.

Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided.TI bases its knowledge and belief on information provided by third parties,and makes no representation or warranty as to the accuracy of such information.Efforts are underway to better integrate information from third parties.TI has taken and continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.TI and TI suppliers consider certain information to be proprietary,and thus CAS numbers and other limited information may not be available for release.

In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s)at issue in this document sold by TI to Customer on an annual basis.

光电编码器原理课件

光电编码器原理课件

光电编码器 光电编码器,是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。这是目前应用最多的传感器,光电编码器是由光栅盘和光电检测装置组成。光栅盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,光栅盘与电动机同速旋转,经发光二极管等电子元件组成的检测装置检测输出若干脉冲信号,通过计算每秒光电编码器输出脉冲的个数就能反映当前电动机的转速。此外,为判断旋转方向,码盘还可提供相位相差90&or dm;的两路脉冲信号。 根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。(REP) 1.1增量式编码器

增量式编码器是直接利用光电转换原理 输出三组方波脉冲A、B和Z相;A、B两组脉冲相位差90º,从而可方便地判断出旋转方向,而Z相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 1.2绝对式编码器 绝对编码器是直接输出数字量的传感器,在它的圆形码盘上沿径向有若干同心码道,每条道上由透光和不透光的扇形区相间组成,相邻码道的扇区数目是双倍关系,码盘上的码道数就是它的二进制数码的位数,在码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读出一个固定的与位置相对应的数字码。显然,码道越多,分辨率就越高,对于一个具有N位二进制分辨率的编码器,其码盘必须有N条码道。

EDA 4-7线译码器 8-3线编码器 电子时钟

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY decoder47 IS PORT(DCBA:IN STD_LOGIC_VECTOR(3 DOWNTO 0); gfedcba:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END ENTITY decoder47; ARCHITECTURE one OF decoder47 IS BEGIN PROCESS(DCBA) BEGIN CASE DCBA IS WHEN "0000"=> gfedcba<="0111111"; WHEN "0001"=> gfedcba<="0000111"; WHEN "0010"=> gfedcba<="1011011"; WHEN "0011"=> gfedcba<="1001111"; WHEN "0100"=> gfedcba<="1100110"; WHEN "0101"=> gfedcba<="1101101"; WHEN "0110"=> gfedcba<="1111100"; WHEN "0111"=> gfedcba<="0000111"; WHEN "1000"=> gfedcba<="1111111"; WHEN "1001"=> gfedcba<="1100111"; WHEN OTHERS=> NULL; END CASE; END PROCESS; END ARCHITECTURE one; 仿真波形:

编码器知识详解

光电编码器的工作原理 光电编码器,是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。这是目前应用最多的传感器,光电编码器是由光栅盘和光电检测装置组成。光栅盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,光栅盘与电动机同速旋转,经发光二极管等电子元件组成的检测装置检测输出若干脉冲信号,其原理示意图如图1所示;通过计算每秒光电编码器输出脉冲的个数就能反映当前电动机的转速。此外,为判断旋转方向,码盘还可提供相位相差90。的两路脉冲信号。 编码器的分类 根据检测原理,编码器可分为光学式、磁式、感应式和电容式,根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。 1.1 增量式编码器增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和Z相;A、B两组脉冲相位差90。,从而可方便的判断出旋转方向,而Z相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 1.2 绝对式编码器绝对式编码器是直接输出数字的传感器,在它的圆形码盘上沿径向有若干同心码盘,每条道上有透光和不透光的扇形区相间组成,相邻码道的扇区树木是双倍关系,码盘上的码道数是它的二进制数码的位数,在吗盘的一侧是光源,另一侧对应每一码道有一光敏元件,当吗盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读书一个固定的与位置相对应的数字码。显然,吗道必须N条吗道。目前国内已有16位的绝对编码器产品。 1.3 混合式绝对编码器混合式绝对编码器,它输出两组信息,一组信息用于检测磁极位置,带有绝对信息功能;另一组则完全同增量式编码器的输出信息。 光电编码器的应用 1、角度测量 汽车驾驶模拟器,对方向盘旋转角度的测量选用光电编码器作为传感器。重力测量仪,采用光电编码器,把他的转轴与重力测量仪中补偿旋钮轴相连,扭转角度仪,利用编码器测量扭转角度变化,如扭转实验机、渔竿扭转钓性测试等。摆锤冲击实验机,利用编码器计算冲击是摆角变化。 2、长度测量 计米器,利用滚轮周长来测量物体的长度和距离。 拉线位移传感器,利用收卷轮周长计量物体长度距离。 联轴直测,与驱动直线位移的动力装置的主轴联轴,通过输出脉冲数计量。 介质检测,在直齿条、转动链条的链轮、同步带轮等来传递直线位移信息。 3、速度测量 线速度,通过跟仪表连接,测量生产线的线速度 角速度,通过编码器测量电机、转轴等的速度测量 4、位置测量 机床方面,记忆机床各个坐标点的坐标位置,如钻床等 自动化控制方面,控制在牧歌位置进行指定动作。如电梯、提升机等 5、同步控制 通过角速度或线速度,对传动环节进行同步控制,以达到张力控制 光电旋转编码器在工业控制中的应用 -------------------------------------------------------------------------------- 1.概述 在工业控制领域,编码器以其高精度、高分辨率和高可靠性而被广泛用于各种位移测量。 目前,应用最广泛的是利用光电转换原理构成的非接触式光电编码器。光电编码器是一种集光、机、电为一体的数字检测装置。作为一次光电传感检测元件的光电编码器,具有精度高、响应快、抗干

实验三 3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真 一、实验目的 1、掌握中规模集成3-8译码器的逻辑功能和使用方法。 2、进一步掌握VHDL语言的设计。 二、预习要求 复习有关译码器的原理。 三、实验仪器和设备 1.数字电子技术实验台1台 2.数字万用表1块 3.导线若干 4.MUX PLUSII软件 5.74LS138集成块若干 四、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器) 用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图 74LS138功能表 输入输出 S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 0 ×××× 1 1 1 1 1 1 1 1 × 1 ××× 1 1 1 1 1 1 1 1 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输 入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3-2所示。若在S1输入 端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入 数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时 钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可

绝对值编码器的工作原理

******************************************************************************* 从编码器使用的计数来分类,有二进制编码、二进制循环编码(葛莱码)、二-十进制吗等编码器。 从结构原理来分类,有接触式、光电式和电磁式等几种。最常用的是光电式二进制循环码编码器。码盘上有许多同心圆,它代表某种计数制的一位,每个同心圆上有透光与不透光的部分,透光部分为1,不透光部分为0,这样组成了不同的图案。每一径向,若干同心圆组成的图案带标了某一绝对计数值。二进制码盘每转一个角度,计数图案的改变按二进制规律变化。葛莱码的计数图案的切换每次只改变一位,误差可以控制在一个单位内。精度受到最低位分段宽度的限制。要求更大计数长度,可采用粗精测量组合码盘。 接触式码盘可以做到9位二进制,它的优点是简单、体积小输出信号强,不需要放大;缺点是电刷摩擦是、寿命低、转速不能太高。 光电式码盘没有接触磨损寿命长,转速高,最外层每片宽度可以做得更小,因而精度高。每个码盘可以做到18位进制。缺点是结构复杂价格高。 电磁码盘是在导磁性好的软铁和坡莫合金原盘上,用腐蚀的办法作成相位码制的凹凸图形,当磁通通过码盘时,由于磁导大小不一样,其感应电势也不同,因而可区分0和1,到达测量的目的。该种码盘是一种无接触式码盘,具有寿命长‘转速高等优点。它是一种发展前途的直接编码式测量元件。 工作原理,接触式码盘,每个码道上有一个电刷与之接触,最里面一层有一导电公用区,与各码道到点部分连在一起,而与绝缘部分分开。导电公用区接到电源负极。当被测对象带动码盘一起转动时,与电刷串联的电阻上将会出现电流流过或没有电流流过两种情况,带标二进制的1或0.若码盘顺时针转动,就可依次得到按规定编码的数字信输出。如果电刷安装不准就会照成误差。葛莱码没转换一个数字编码,只改变一位,故照成的误差不会超过一个单位。 *******************************************************************************

编码器工作原理,光电编码器的工作原理分析

编码器工作原理,光电编码器的工作原理分析 编码器工作原理 绝对脉冲编码器:APC 增量脉冲编码器:SPC 两者一般都应用于速度控制或位置控制系统的检测元件. 旋转编码器是用来测量转速的装置。它分为单路输出和双路输出两种。技术参数主要有每转脉冲数(几十个到几千个都有),和供电电压等。单路输出是指旋转编码器的输出是一组脉冲,而双路输出的旋转编码器输出两组相位差90度的脉冲,通过这两组脉冲不仅可以测量转速,还可以判断旋转的方向。 增量型编码器与绝对型编码器的区分 编码器如以信号原理来分,有增量型编码器,绝对型编码器。 增量型编码器(旋转型) 工作原理: 由一个中心有轴的光电码盘,其上有环形通、暗的刻线,有光电发射和接收器件读取,获得四组正弦波信号组合成A、B、C、D,每个正弦波相差90度相位差(相对于一个周波为360度),将C、D信号反向,叠加在A、B两相上,可增强稳定信号;另每转输出一个Z相脉冲以代表零位参考位。 由于A、B两相相差90度,可通过比较A相在前还是B相在前,以判别编码器的正转与反转,通过 零位脉冲,可获得编码器的零位参考位。 编码器码盘的材料有玻璃、金属、塑料,玻璃码盘是在玻璃上沉积很薄的刻线,其热稳定性好,精度高,金属码盘直接以通和不通刻线,不易碎,但由于金属有一定的厚度,精度就有限制,其热稳定性就要比玻璃的差一个数量级,塑料码盘是经济型的,其成本低,但精度、热稳定性、寿命均要差一些。 分辨率—编码器以每旋转360度提供多少的通或暗刻线称为分辨率,也称解析分度、或直接称多少线, 一般在每转分度5~10000线。 信号输出: 信号输出有正弦波(电流或电压),方波(TTL、HTL),集电极开路(PNP、NPN),推拉式多种形式,其中TTL为长线差分驱动(对称A,A-;B,B-;Z,Z-),HTL也称推拉式、推挽式输出,编码器的信号接收设 备接口应与编码器对应。 信号连接—编码器的脉冲信号一般连接计数器、PLC、计算机,PLC和计算机连接的模块有低速模块 与高速模块之分,开关频率有低有高。

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

3 8译码器

试验一组合逻辑3线-8线译码器设计试验 一、试验目的 1、了解并初步掌握ModelSim软件的使用; 2、了解使用ModelSim进行组合数字电路设计的一般步骤; 3、掌握组合逻辑电路的设计方法; 4、掌握组合逻辑电路3线-8线译码器的原理; 5、掌握门级建模的方法; 二、试验原理 译码器(Decoder)的逻辑功能是将每个输入的二进制代码译成对应得输出高、低电平或另外一个代码。因此,译码是编码的反操作。常用的译码器电路有二进制译码器、二-十进制译码器和显示译码器等。 二进制译码器的输入是一组二进制代码,输出是一组与输入代码一一对应得高、低电平信号。例如,典型的3线-8线译码器功能框图图1-1所示。输入的3位二进制代码共有8种状态,译码器将每个输入代码译成对应的一根输出线上的高、低电平信号。 图1-1 3线-8线译码器框图 74HC138是用CMOS门电路组成的3线-8线译码器,它的逻辑图图1-2所示。表1-1是74HC138的逻辑功能表。当门电路G S的输出为高电平时,可以由逻辑图写出。

图1-2 74HC138逻辑功能图

表1-1 74HC138逻辑功能表 由上式可以看出,由''07Y Y -同时又是210,,A A A 这三个变量的全部最小项的译码输出,所以也将这种译码器称为最小项译码器。 74HC138有3个附加的控制端'' 123 ,S S S 和。当''123S 1,S S 0=+=时,s G 输出为高电平,译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁为高电平。这3个控制端也称为“片选”输入端,利用片选的作用可以将多片连接起来以扩展译码器的功能; 三、 预习要求 1、数字电子技术基础组合逻辑电路设计一般设计方法; 2、74HC138的逻辑功能; 3、门级建模的一般方法和基本语句; 4、ModelSim 软件的一般使用方法(ModelSim SE Tutorial); 四、 实验步骤 (一)、熟悉ModelSim 软件环境 1、建立一个新Project 1-1双击左面快捷方式或者电击[程序]/[ModelSim SE 6.1f]/[ModelSim]启动ModelSim 6.1(如图1-3); 注意:必须首先关闭IMPORTANT Information 对话框才能开始其它操作;

编码器工作原理汇总

编码器的工作原理及作用:它是一种将旋转位移转换成一串数字脉冲信号的旋转式传感器,这些脉冲能用来控制角位移,如果编码器与齿轮条或螺旋丝杠结合在一起,也可用于测量直线位移。 编码器产生电信号后由数控制置CNC、可编程逻辑控制器PLC、控制系统等来处理。这些传感器主要应用在下列方面:机床、材料加工、电动机反馈系统以及测量和控制设备。在ELTRA编码器中角位移的转换采用了光电扫描原理。读数系统是基于径向分度盘的旋转,该分度由交替的透光窗口和不透光窗口构成的。此系统全部用一个红外光源垂直照射,这样光就把盘子上的图像投射到接收器表面上,该接收器覆盖着一层光栅,称为准直仪,它具有和光盘相同的窗口。接收器的工作是感受光盘转动所产生的光变化,然后将光变化转换成相应的电变化。一般地,旋转编码器也能得到一个速度信号,这个信号要反馈给变频器,从而调节变频器的输出数据。故障现象:1、旋转编码器坏(无输出)时,变频器不能正常工作,变得运行速度很慢,而且一会儿变频器保护,显示“PG断开”...联合动作才能起作用。要使电信号上升到较高电平,并产生没有任何干扰的方波脉冲,这就必须用电子电路来处理。编码器pg接线与参数矢量变频器与编码器pg之间的连接方式,必须与编码器pg的型号相对应。一般而言,编码器pg型号分差动输出、集电极开路输出和推挽输出三种,其信号的传递方式必须考虑到变频器pg卡的接口,因此选择合适的pg卡型号或者设置合理. 编码器一般分为增量型与绝对型,它们存着最大的区别:在增量编码器的情况下,位置是从零位标记开始计算的脉冲数量确定的,而绝对型编码器的位置是由输出代码的读数确定的。在一圈里,每个位置的输出代码的读数是唯一的;因此,当电源断开时,绝对型编码器并不与实际的位置分离。如果电源再次接通,那么位置读数仍是当前的,有效的;不像增量编码器那样,必须去寻找零位标记。 现在编码器的厂家生产的系列都很全,一般都是专用的,如电梯专用型编码器、机床专用编码器、伺服电机专用型编码器等,并且编码器都是智能型的,有各种并行接口可以与其它设备通讯。 编码器是把角位移或直线位移转换成电信号的一种装置。前者成为码盘,后者称码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电刷接触导电区或绝缘区来表示代码的状态是“1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是“1”还是“0”。 按照工作原理编码器可分为增量式和绝对式两类。增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。绝对式编码器的每一个位置对应一个确定的数字码,因此它的示值只与测量的起始和终止位置有关,而与测量的中间过程无关。 旋转增量式编码器以转动时输出脉冲,通过计数设备来知道其位置,当编码器不动或停电时,依靠计数设备的内部记忆来记住位置。这样,当停电后,编码器不能有任何的移动,当来电工作时,编码器输出脉冲过程中,也不能有干扰而丢失脉冲,不然,计数设备记忆的零点就会偏移,而且这种偏移的量是无从知道的,只有错误的生产结果出现后才能知道。解决的方法是增加参考点,编码器每经过参考点,将参考位置修正进计数设备的记忆位置。在参考点以前,是不能保证位置的准确性的。为此,在工控中就有每次操作先找参考点,开机找零等方法。这样的编码器是由码盘的机械位置决定的,它不受停电、干扰的影响。 绝对编码器由机械位置决定的每个位置的唯一性,它无需记忆,无需找参考点,而且不用一直计数,什么时候需要知道位置,什么时候就去读取它的位置。这样,编码器的抗干扰特性、数据的可靠性大大提高了。 由于绝对编码器在定位方面明显地优于增量式编码器,已经越来越多地应用于工控定位中。绝对型编码器因其高精度,输出位数较多,如仍用并行输出,其每一位输出信号必须确保连接很好,对于较复杂工况还要隔离,连接电缆芯数多,由此带来诸多不便和降低可靠性,

实验五 3-8线译码器

实验五 3-8线译码器 一、实验目的 1、熟悉常用译码器的功能逻辑。 2、掌握复杂译码器的设计方法。 二、实验原理 1、总体思路以EP2C5中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七段LED数码管上显示出来。 2、3-8线译码器原理图如下图所示: 三、实验程序 实验参考代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DECODE IS PORT(DATA_IN :IN STD_LOGIC_VECTOR(2 DOWNTO 0); LEDOUT,DATA_OUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0); LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0)

); END DECODE; ARCHITECTURE ADO OF DECODE IS SIGNAL OUTA,D_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN LEDW<="000"; PROCESS (DATA_IN) VARIABLE DIN: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN DIN:=DATA_IN; LEDOUT<=OUTA; DATA_OUT<=D_OUT; CASE DIN IS when "000" => OUTA<="00111111" ; --"0" when "001" => outa<="00000110" ; --"1" when "010" => outa<="01011011"; --"2" when "011" => outa<="01001111"; --"3" when "100" => outa<="01100110"; --"4" when "101" => outa<="01101101"; --"5" when "110" => outa<="01111101"; --"6" when "111" => outa<="00000111"; --"7" WHEN OTHERS => OUTA<="XXXXXXXX"; END CASE; CASE DIN IS WHEN "000" => D_OUT<="00000000"; WHEN "001" => D_OUT<="00000001"; WHEN "010" => D_OUT<="00000010"; WHEN "011" => D_OUT<="00000100"; WHEN "100" => D_OUT<="00001000"; WHEN "101" => D_OUT<="00010000"; WHEN "110" => D_OUT<="00100000"; WHEN "111" => D_OUT<="01000000"; WHEN OTHERS=> D_OUT<="XXXXXXXX"; END CASE; END PROCESS; END ADO; 四、实验步骤 1、打开Quartus II,选择“File”菜单下的“New Project Wizard”,建立Project 及顶层实体的名称为ADO,期间,选择的目标芯片为EP2C5Q208C8N; 2、选择“File”菜单下的“New”命令,在“New”窗口中选择“VHDL Files”,输入 程序,进行编译; 3、选择“File”菜单中的“New”项,在“New”窗口中选择“Other Files”中的“Vector Waveform File”项,打开空白的波形编辑器,输入所有的信号节点,给输入随机 赋值,保存,单击工具栏上的快捷方式,进行波形仿真; 4、打开“Assignments”菜单下的“Pins”命令,打开引脚锁定窗口,进行引脚锁定, 再次对VHDL Files进行编译; 5、连接EDA实验箱,将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角 的SOPC JTAG 口连接起来,万用下载区右下角的电源开关拨到 SOPC下载的一边, 将JPLED1短路帽右插,JPLED的短路帽全部上插,请将JP103的短路帽全部插上。 6、在Quartus II的菜单“Tool”中选择“Programmer”,或直接单击工具栏上的快捷

编码器工作原理及作用

编码器工作原理及作用-标准化文件发布号:(9556-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

编码器工作原理及作用 工作原理 德国siko编码器 由一个中心有轴的光电码盘,其上有环形通、暗的刻线,有光电发射和接收器件读取,获得四组正弦波信号组合成A、B、C、D,每个正弦波相差90度相位差(相对于一个周波为360度),将C、D信号反向,叠加在A、B两相上,可增强稳定信号;另每转输出一个Z相脉冲以代表零位参考位。 由于A、B两相相差90度,可通过比较A相在前还是B相在前,以判别编码器的正转与反转,通过零位脉冲,可获得编码器的零位参考位。编码器码盘的材料有玻璃、金属、塑料,玻璃码盘是在玻璃上沉积很薄的刻线,其热稳定性好,精度高,金属码盘直接以通和不通刻线,不易碎,但由于金属有一定的厚度,精度就有限制,其热稳定性就要比玻璃的差一个数量级,塑料码盘是经济型的,其成本低,但精度、热稳定性、寿命均要差一些。 分辨率—编码器以每旋转360度提供多少的通或暗刻线称为分辨率,也称解析分度、或直接称多少线,一般在每转分度5~10000线。 作用 它是一种将旋转位移转换成一串数字脉冲信号的旋转式传感器,这些脉冲能用来控制角位移,如果编码器与齿轮条或螺旋丝杠结合在一起,也可用于测量直线位移。 编码器产生电信号后由数控制置CNC、可编程逻辑控制器PLC、控制系统等来处理。这些传感器主要应用在下列方面:机床、材料加工、电动机反馈系统以及测量和控制设备。在ELTRA编码器中角位移的转换采用了光电扫描原理。读数系统是基于径向分度盘的旋转,该分度由交替的透光窗口和不透光窗口构成的。此系统全部用一个红外光源垂直照射,这样光就把盘子上的图像投射到接收器表面上,该接收器覆盖着一层光栅,称为准直仪,它具有和光盘相同的窗口。接收器的工作是感受光盘转动所产生的光变化,然后将光变化转换成相应的电变化。一般地,旋转编码器也能得到一个速度信

光电编码器的工作原理

光电编码器的工作原理 工作原理:当光电编码器的轴转动时A、B两根线都产生脉冲输出,A、B两相脉冲相差90度相位角,由此可测出光电编码器转动方向与电机转速。如果 A相脉冲比B相脉冲超前则光电编码器为正转,否则为反转.Z线为零脉冲线,光电编码器每转一圈产生一个脉冲.主要用作计数。A线用来测量脉冲个数,B线与A线配合可测量出转动方向. N为电机转速Δn=ND测-ND理例如:我们车的速度为1.5m/s,轮子的直径220mm,C=D*Pi,电机控制在21.7转/秒,根据伺服系统的指标,设电机转速为1500转/分,故可求得当ND=21.7*60=130转/分时,光码盘每秒钟输出的脉冲数为:PD=130乘以600/60=1300个脉冲当测出的脉冲个数与计算出的标准值有偏差时,可根据电压与脉冲个数的对应关系计算出输出给伺服系统的增量电压△U,经过D/A转换,再计算出增量脉冲个数,等下减去。当运行时间越长路 线越长,离我们预制的路线偏离就多了。这时系统起动位置环,通过不断测量光电编码器每秒钟输出的脉冲个数,并与标准值PD(理想值)进行比较,计 算出增量△P并将之转换成对应的D/A输出数字量,通过控制器减少输个电机的脉冲个数,在原来输出电压的基础上减去增量,迫使电机转速降下来,当测出的△P近似为零时停止调节,这样可将电机转速始终控制在允许的范围内。 根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。1.1增量式编 码器增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和Z相; A、B两组脉冲相位差90海佣煞奖愕嘏卸铣鲂较颍Z相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗

实验三-8线3线优先编码器

姓名学号实验日期成绩 XXX XXXXXXX年月日 实验三基本组合逻辑电路的PLD实现(2) ●实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器 ●实验目的: 1.熟悉用可编程器件实现基本组合逻辑电路的方法。 2.进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入 法,进一步熟悉如何编译,器件选择,管脚分配和仿真。 ●预习要求: 1.回顾数字电路中关于优先编码器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言输 入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语言。 2.优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优 先等级对同时输入的多路信号中优先级最高的一路进行编码。 3.8线-3线优先编码器的真值表如下图所示: ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.新建一张电路图文档,调用8线-3线优先编码器芯片74148(注意其均是低电平有 效),完成设计。 3.对电路图进行编译,仿真。 4.用VerilogHDL语言方式编写一个8线-3线优先编码器。 5.完成编译,管脚分配,并对模块进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据:

1. 8线-3线优先编码器电路图: 2. 8线-3线优先编码器电路仿真波形: 3 .8线-3线优先编码器Verilog代码:

用与非门组成的3线-8线译码器课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程数字IC设计(双语) 题目 3线-8线译码器 班级集成12—1 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师陆学斌 2014年12月31日

目录 1、课程设计目的介绍……………………………………………… 2、课程设计题目介绍……………………………………………… 3、课程设计报告内容……………………………………………… 4、体会总结………………………………………………………… 5、参考书目…………………………………………………………

1.课程设计目的 训练学生综合运用学过的数字集成电路的基本知识,独立设计相对复杂的数字集成电路的能力。 2.课程设计题目 用与非门组成的3线-8线译码器 3.课程设计报告内容 3.1 设计要求 按题目要求的逻辑功能进行设计,电路各个组成部分须有设计说明; 必须采用网表输入法; 3.2 设计内容 拿到题目后首先进行电路设计。然后在微机上进行HSPICE网表输入、编译和软件仿真,满足设计要求。 3.3 查找有关书籍设计电路原理图 3.4 根据原理图编写网表

*74 HC138 .include 'd:\lib\180nm_bulk.l' .param Supply=1.8 .global Vdd Gnd .opt scale=0.1u Vdd Vdd Gnd 'Supply' .subckt nand ina inb inc ind out mpa out ina Vdd Vdd PMOS l=2 w=8 ad=8 pd=8 as=40 ps=40 mpb out inb Vdd Vdd PMOS l=2 w=8 ad=8 pd=8 as=40 ps=40 mpc out inc Vdd Vdd PMOS l=2 w=8 ad=8 pd=8 as=40 ps=40 mpd out ind Vdd Vdd PMOS l=2 w=8 ad=8 pd=8 as=40 ps=40 mna out ina x Gnd NMOS l=2 w=16 ad=16 pd=16 as=80 ps=80 mnb x inb y Gnd NMOS l=2 w=16 ad=16 pd=16 as=80 ps=80 mnc y inc z Gnd NMOS l=2 w=16 ad=16 pd=16 as=80 ps=80 mnd z ind Gnd Gnd NMOS l=2 w=16 ad=16 pd=16 as=80 ps=80 .ends .subckt nor ina inb inc out mpa out ina x Vdd PMOS l=2 w=12 ad=12 pd=12 as=60 ps=60 mpb x inb y Vdd PMOS l=2 w=12 ad=12 pd=12 as=60 ps=60 mpc y inc Vdd Vdd PMOS l=2 w=12 ad=12 pd=12 as=60 ps=60 mna out ina Gnd Gnd NMOS l=2 w=2 ad=2 pd=2 as=10 ps=10 mnb out inb Gnd Gnd NMOS l=2 w=2 ad=2 pd=2 as=10 ps=10 mnc out inc Gnd Gnd NMOS l=2 w=2 ad=2 pd=2 as=10 ps=10 .ends .subckt Inverter in out mpa out in Vdd Vdd PMOS l=2 w=4 ad=4 pd=4 as=20 ps=20 mpb out in Gnd Gnd NMOS l=2 w=2 ad=4 pd=4 as=10 ps=10 .ends x1 s1 s1b Inverter

增量式旋转编码器工作原理

增量式旋转编码器工作原理 增量式旋转编码器通过内部两个光敏接受管转化其角度码盘的时序和相位关系,得到其角度码盘角度位移量增加(正方向)或减少(负方向)。在接合数字电路特别是单片机后,增量式旋转编码器在角度测量和角速度测量较绝对式旋转编码器更具有廉价和简易的优势。 下面对增量式旋转编码器的内部工作原理(附图) A,B两点对应两个光敏接受管,A,B两点间距为 S2 ,角度码盘的光栅间距分别为S0和S1。 当角度码盘以某个速度匀速转动时,那么可知输出波形图中的S0:S1:S2比值与实际图的S0:S1:S2比值相同,同理角度码盘以其他的速度匀速转动时,输出波形图中的S0:S1:S2比值与实际图的S0:S1:S2比值仍相同。如果角度码盘做变速运动,把它看成为多个运动周期(在下面定义)的组合,那么每个运动周期中输出波形图中的S0:S1:S2比值与实际图的S0:S1:S2比值仍相同。 通过输出波形图可知每个运动周期的时序为 我们把当前的A,B输出值保存起来,与下一个A,B输出值做比较,就可以轻易的得出角度码盘的运动方向, 如果光栅格S0等于S1时,也就是S0和S1弧度夹角相同,且S2等于S0的1/2,那么可得到此次角度码盘运动位移角度为S0弧度夹角的1/2,除以所消毫的时间,就得到此次角度码盘运动位移角速度。 S0等于S1时,且S2等于S0的1/2时,1/4个运动周期就可以得到运动方向位和位移角度,如果S0不等于S1,S2不等于S0的1/2,那么要1个运动周期才可以得到运动方向位和位移角度了。

我们常用的鼠标也是这个原理哦。 根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。 1.1增量式编码器 增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和Z相;A、B两组脉冲相位差90o,从而可方便地判断出旋转方向,而Z相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 光电编码器分类和选择 光电编码器是利用光栅衍射原理实现位移—数字变换的,从50年代开始应用于机床和计算仪器,因其结构简单、计量精度高、寿命长等优点,在国内外受到重视和推广。近年来更取得长足的发展,在精密定位、速度、长度、加速度、振动等方面得到广泛的应用。 光电编码器按编码方式分为二类:增量式与绝对式。 1、增量式编码器特点: 增量式编码器转轴旋转时,有相应的脉冲输出,其计数起点任意设定,可实现多圈无限累加和测量。编码器轴转一圈会输出固定的脉冲,脉冲数由编码器光栅的线数决定。需要提高分辩率时,可利用 90 度相位差的 A、B 两路信号进行倍频或更换高分辩率编码器。 2、绝对式编码器特点: 绝对式编码器有与位置相对应的代玛输出,通常为二进制码或 BCD 码。从代码数大小的变化可以判别正反方向和位移所处的位置,绝对零位代码还可以用于停电位置记忆。绝对式编码器的测量范围常规为 0—360 度。

光电编码器

光电编码器原理 光电编码器,是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。光电编码器每转输出600个脉冲,五线制。其中两根为电源线,三根为脉冲线(A相、B相、Z)。电源的工作电压为(+5~+24V)直流电源。光电编码器是由光栅盘和光电检测装置组成。光栅盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,光栅盘与电动机同速旋转,经发光二极管等电子元件组成的检测装置检测输出若干脉冲信号;通过计算每秒光电编码器输出脉冲的个数就能反映当前电动机的转速。此外,为判定旋转方向,码盘还可提供相位相差90o的两路脉冲信号。 工作原理:当光电编码器的轴转动时A、B两根线都产生脉冲输出,A、B两相脉冲相差90度相位角,由此可测出光电编码器转动方向与电机转速。假如A相脉冲比B相脉冲超前则光电编码器为正转,否则为反转.Z线为零脉冲线,光电编码器每转一圈产生一个脉冲.主要用作计数。A线用来丈量脉冲个数,B线与A线配合可丈量出转动方向. 设N为电机转速 Δn=ND测-ND理 例如:我们车的速度为1.5m/s,轮子的直径220mm,C=D*Pi,电机控制在21.7转/秒,根据伺服系统的指标,设电机转速为1500转/分,故可求得当ND=21.7*60=130转/分时,光码盘每秒钟输出的脉冲数为: PD=130×600/60=1300个脉冲 当测出的脉冲个数与计算出的标准值有偏差时,可根据电压与脉冲个数的对应关系计算出输出给伺服系统的增量电压△U,经过D/A转换,再计算出增量脉冲个数,等下减往 摘要:位置检测装置作为数控机床的重要组成部分,其作用是检测位移量,并发出反馈信号。在现代数控伺服系统中广泛应用于角位移或角速率的测量。目前生产和使用的数控机床大多采用的是半闭环控制方式。 关键词:光电编码器;角位移;脉冲;传感器 光电编码器是一种旋转式位置传感器,在现代伺服系统中广泛应用于角位移或角速率的测量,它的转轴通常与被测旋转轴连接,随被测轴一起转动。它能将被测

利用simulink实现8线3线编码器的设计仿真

利用simulink实现8线3线编码器的设计仿真 1 问题描述(8线3线编码的设计的数学建模) 在数字电路中,编码器、译码器的应用极为广泛。所谓编码,就是在选定的一系列二值代码中赋予每个代码以固定的含义,执行编码功能的电路统称为编码器。 实现8线3线编码器,它的功能是对输入端的8个信号进行编码,输出三位二进制数。要求输入信号每次只有一个事0,其余7个是1。其中0值是待编码信号。我们将用MATLAB 的simulink软件包实现这种常用的数字组合逻辑电路,并进行仿真。 2. 系统模型及建模分析 根据前面介绍的8线3线编码器的功能,可列出下面得真值表: 有了真值表之后,就可以写出输入输出间的逻辑函数式如下: 在写出逻辑表达式之后,我们就可以用与非门来实现这个表达式。 3. 仿真实现; 在进行仿真时,将在8个输入端依次加一个低电平,然后用3个示波器观察3个输出波形。用simulink实现这个数学电路系统一共分三个步骤: 第一步:添加模块,在MATLAB中运行simulink,打开模块浏览器,然后新建一个模型。接下来把本次仿真需要的模块添加到模型中。这里共需要三种模块:与非门4个,离散信号脉冲源8个,示波器3个。与非门位于simulink模块库中的logocal operater,离散信号源脉冲 精选

位于simulink—sources—pulse generator,示波器位于simulink—sinks—scope。将这三种模块到拖到一个模型中。 点击与非门模块的名称,即写着Logical Operator 的区域,将名称改为Y0,接着点击选中与非门模块不要松开鼠标,按住Ctrl 键拖动这个模块到另一个位置,就会复制一个新的Y1模块。用同样的方法得到Y2,类似地将离散信号脉冲源模块名字改为J0,同样得到J0,J1…J7。最后将示波器复制三个。这样一来就将所有模块添加到模型中了。 第二步:修改模块参数 首先双击Y0,打开属性对话框,将操作(Operator)修改为“NAND”,输入节点数改为4,然后点击OK确定。Y1,Y2也做同样修改。 然后,通过双击示波器模块Scope,得到一个图形界面,在其工具栏上单击打印图标右边的Parameters图标,打开示波器属性设置对话框,将坐标轴改为3,同样地,将示波器Scope1,Scope2的坐标轴数改为4。 最后修改脉冲源的属性。双击离散脉冲源J0,将看到关于它的属性对话框,可以从对话框中看到5个参数设置,分别为: Amolititude:方波信号的幅度; Period:方波信号的周期; Pulse width: 脉冲宽度; Sample Time:采样时间长度,以秒为单位。 针对这个例子中的要求,我们需要J0到J7依次为低电平,所以将J0到J7的周期调整为8,脉冲宽度设为7,相位延迟为-7到0,幅度和采样时间用默认值。这样在零时刻,J0为低电平,其余输入为高电平;过一个采样时间,J1变为低电平。这样下去,到第七个采样时间,J7变为低电平。从而实现了设计要求。 第三步:联系及仿真 现在将各模块之间的连线上。根据输入输出的逻辑表达式,将J1、J3、J5、J7接到Y0的输入,将J2、J3、J6、J7接到Y1的输入,将J4、J5、J6、J7接到Y2 的输入。然后用示波器Scope监测Y2、Y1、Y0的输出,Scope1 用来监视J0到J3这4个波形,Scope2 用来监视J4到J7这4个波形。这样我们就完成了连线、 在最后进行仿真之前,先保存结果到自己的工作目录里。 仿真结束后,可以从示波器Scope1,Scope2 上看到编码器的8个输入端的波形,在示波器Scope中看到编码器输出波形。

相关主题
文本预览
相关文档 最新文档