当前位置:文档之家› 数字逻辑数字频率计的设计课程设计报告

数字逻辑数字频率计的设计课程设计报告

数字逻辑数字频率计的设计课程设计报告
数字逻辑数字频率计的设计课程设计报告

滁州学院

课程设计报告

课程名称:数字逻辑课程设计

设计题目:数字频率计的设计

系别:网络与通信工程系

专业:网络工程(无线传感器网络方向)组别:第七组

起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺

计算机与信息工程学院二○一二年制

课程设计任务书

目录

1绪论 (1)

1.1设计背景 (1)

1.2主要工作和方法 (1)

1.3本文结构 (1)

2相关知识 (1)

2.1数字频率计概念...................................................................................................................... .. (1)

2.2数字频率计组成 (1)

3系统设计 (2)

4系统实现 (2)

4.1计数译码显示电路 (2)

4.2控制电路 (3)

5系统测试与数据分析 (5)

6课程设计总结与体会 (8)

6.1设计总结 (8)

6.2设计体会 (8)

结束语 (9)

参考文献 (9)

附录 (10)

致谢 (12)

1绪论

1.1设计背景

数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。

随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。

随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。

1.2主要工作和方法

设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。

1.3本文结构

本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。

2相关知识

2.1数字频率计介绍

2.1.1数字频率计概念

数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。

2.1.2数字频率计组成

数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

所示。

图3.1 数字频率计结构图

3 系统设计

数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。由振荡器的震荡电路产生一标准频率信号,经分频器分频得到控制脉冲。控制脉冲经过控制器中的门电路分别产生选通脉冲、锁存信号和清零信号。待测信号经过限幅、运放的放大、施密特整形之后,输出一个与待测信号同频率的矩形脉冲信号,该信号在检测门经过与选通信号合成,产生计数信号。计数信号并与锁存信号和清零复位信号共同控制计数、锁存和清零三个状态,然后通过数码显示器件显示。此实例主要分析频率计的工作原理,因此对振荡器、分频器、放大整形电路略过,着重对控制电路以及计数译码显示电路的设计。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。

4系统实现

4.1计数译码显示电路

选用带译码器的集成十进制计数芯片CD40110,该芯片有锁存控制端,可对计数进行锁存。计数部分只显示锁存后的数据,每锁定一次,计数部分跳动一次,更新数据,如此往复。因为仿真时受元器件的限制,通过讨论,最终选用4位二进制计数器74160,且要求显示四位,因此使用4组74160和数码管。将各计数器的LOAD、ENP、ENT分别接高电平,个位的CLK端外接计数信号,低位的进位端接高位的CLK端,各芯片的CLR端连接起来外接清零信号,4个输出端接数码管,以此实现一个能显示4位十进制的计数器,图4.1为连接后的电路。

图4.1 计数译码显示电路

4.2控制电路

控制电路是整个数字频率计正常工作的核心部分,需仔细分析各种频率信号(计数、选通、锁存、清零)的时序关系,以最终控制计数译码显示电路的工作状态。由于功能要求识别的最小频率是1Hz,因此将选通信号的高电平时间定为1s,在这个时间段内允许待测信号输入进行计数,锁存和清零信号的输出均为高电平。在选通信号为低电平时关闭闸门,计数停止,处于数据锁存的时间段,此时的锁存信号为低电平,清零信号仍为高电平,直到选通信号的下一个高电平到来之前(开始下一个计数),清零信号端输出一个低电平实现数码管显示的清零,准备进入下一个计数周期。如此往复,以实现待测信号频率的反复测量。这几个信号的工作时序如图4.2所示。

选通信号

计数信号…

锁存信号

清零信号

图4.2 控制电路各频率信号时序关系

当JK触发器的J、K端同时接高电平时,输出端的状态会随着每输入一个脉冲改变一次。因此JK触发器输入端的频率是输出端的两倍,这就是通常认为的二分频。将输出端加到下一个JK触发器的时钟端又可实现频率的再次二分频,以此类推可实现频率的逐次分频,电路连接图如图4.3。

图4.3 JK触发器分频电路

工作时序如图4.4所示。

CP

Q1

Q2

图4.4 JK触发器工作时序图

创建如图4.5所示的电路,根据控制电路各信号时序分析得知,选通信号的周期应大于等于锁存信号和清零信号,因此选用上述电路的Q2端作为选通信号的输出端,假定选通信号的高电平时间为1s,那Q2端的频率应为0.5Hz,由此可推出CP端和Q1端的信号频率分别为2Hz和1Hz。在Q2端的选通信号为高电平时,允许计数,频率计开始工作,当Q2端进入低电平段,频率计转为锁存阶段,直至下一个Q2端高电平到来前需要一个清零信号。观察图的工作时序可知,在Q2端的第二个高电平到来前,CP、Q1、Q2端均为低电平,用一个3输入的或门将这三个端口连接,输出一个低电平作为清零信号,加到计数译码显示电路的CLR端。由此得到选通信号周期为2s,计数时间为1s,锁存时间为0.75s,清零时间为0.25s。

图4.5 JK触发器构成的数字频率计电路原理图

5系统测试与数据分析

在系统各个模块电路设计完成后,根据所选择的电路方案和整机电路图,对应仿真链接,检查无误后进行数字电路连接。其调试如下步骤:

(1)输入信号10Hz。电路如图5.1所示。

图5.1 输入信号为10Hz时电路显示

(2)输入信号1Hz,电路图如图5.2所示。

图5.2 输入信号为1HZ时电路显示(3)输入信号100Hz,电路图如图5.3所示。

图5.3 输入信号为100Hz时电路显示

(4)输入信号900Hz,电路图如图5.4所示。

图5.4 输入信号为900Hz电路显示(5)输入信号500Hz, 电路图如图5.5所示。

图5.5 输入信号为500Hz时电路显示

(6)输入信号9999Hz, 电路图如图5.6所示。

图5.6 输入信号为9999Hz时电路显示

6课程设计总结与体会

通过此次课程设计作业,我们学会了很多东西。

6.1设计总结

经过了一段时间的努力我们终于合作完成了数字频率计的设计,从芯片的选择,再到设计与实现。在这个过程中我们学习到了很多在课本上不能学习到的知识,对一个产品也有了一个新的认识,以前我们都很简单的认为一个产品很容易就做出来了,现在我们知道了每一个产品都需要很复杂的工序。通过这次的课程设计我们学到了主要有如下几点:

(1)提前做好准备工作在开始动手之前,我们要把各芯片件的功能弄清楚,以及如何拓展,只有把这些真正的搞懂之后才能顺利完成设计;

(2)耐心分析,解决问题设计与实现的过程中我们会遇到一些困难这是很正常的事,但是不能一遇到问题就慌了,要耐心的分析问题并解决,这次课程设计的时候我就遇到了这样的情况;

(3)团队合作,不懂请教。

6.2设计体会

在此次的数字频率计设计过程中,进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。

在连接电路时,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的, 对该设计的建议:

此次的频率计设计重在于仿真和接线,虽然能把电路图接出来,并能正常显示,但对于电路本身的原理并不是十分熟悉.总的来说,通过这次的设计实验更进一步地增强了实验的动手能力。

结束语

本次课程设计简单的说明了4位二进制计数器74160的功能和JK触发器的功能,并以此设计了数字时钟仿真电路,而且能够实现4位计数的功能,完成了此次课程设计,基本满足设计要求。

参考文献

[1] 彭介华.电子技术课程设计指导[M].北京:高等教育出版社.2004

[2]王连英.基于Multisim 10的电子仿真实验与设计[M].北京:北京邮电大学出版社.2011

[3]余孟尝.数字电子技术基础简明教程[M].北京:高等教育出版社.2006

附录

各逻辑门的引脚图

(1)74160N的逻辑引脚图(图a)

图a 74160N逻辑引脚图

74160N功能表如表b。

表b 74160N功能表

CLK RCD LOAD EP ET 工作状态

×0 ×××置零

↑ 1 0 ××预置零

× 1 1 0 1 保持

× 1 1 ×0 保持(但C=0)

↑ 1 1 1 1 计数

(2) 7473N的引脚图(图c)

图c 7473N引脚图7473N功能如表d。

表d 7473N功能表

致谢

经过多天大家一起努力,本次课程设计任务终于完成。首先,感谢老师给与的指导和帮助,才使我们的设计和报告撰写逐步趋于完善;其次就是大家的积极,团结,在设计过程中各负其责,到问题积极提出意见。在此向老师和组员们致以感谢。

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

电子课程设计——数字频率计

2020/9/14 电子课程设计 ——数字频率计

目录 一 . 设计任务与要求 (2) 二 . 总体框图 (2) 2 . 1 题目分析及总体方案确定 (2) 三 . 选择器件 (4) 3 . 1 元件清单列表 (4) 3 . 2各元器件符号及逻辑功能 (5) 四 . 功能模块 (11) 4 . 1 整形电路 (11) 4 . 2 时基电路 (11) 4 . 3 逻辑控制电路 (12) 4 . 4 计数器、锁存器 (13) 4 . 5 译码显示电路 (15) 五 . 总体设计电路图 (15)

一 . 设计任务与要求 数字频率计是用来测量正弦信号、矩形信号、三角波等波形工作频率的仪器,其测量结果用十进制数字显示。具体要求如下: 1.测量频率范围:1Hz~10KHz; 2.数字显示位数:4位数字显示; 3.测量时间:t≤1.5s; 4.被测信号:方波、三角波、正弦波。 二 . 总体框图 2 . 1 题目分析及总体方案确定 频率的测量总的来说有三种方法:直接测量法、直接与间接测量相结合的方法和多周期同步测量法。直接测量法最简单,但测量误差最大;后两种方法测量精度高,但电路复杂。由于该题目没有对测量误差提出特别要求,为简单起见,采用直接测量法。 数字频率计就是直接用十进制的数字来显示被测信号频率。可以测的方波的频率,通过放大整形处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。所谓频率就是在单位时间(1s)内周期信号的脉冲个数。若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N Hz。 据此可得数字频率计的组成框图如图1—1(a)所示:

1-1(a) 图中的逻辑控制电路有两个作用:一是产生锁存脉冲,使显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。各信号之间的时序关系如图1-1(b)所示,图中信号由上而下依次是由放大整形电路得到的脉冲信号、时间基准信号、闸门电路输出、锁存脉冲和清零脉冲。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光 ___________ 专业班级:通信1103 __________ 指导教师: ___________ 工作单位:信息工程学院 题目:数字频率计的设计与实现 初始条件: 本设il?既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形 电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率汁数值。 要求完成的主要任务:(包括课程设讣工作量及技术要求,以及说明书撰写等具体要求)仁课程设计工作量:1周。 2、技术要求: 1)设计一个频率讣。要求用4位7段数码管显示待测频率,格式为0000Hz. 2)测量频率范围:10~9999HZo 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V° 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设讼分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 仁2013年5月17日,布宜课设具体实施计划与课程设计报告格式的要求说明。 2、2013年6月18日至2013年6月22日,方案选择和电路设计。 3、2013 年6月22日至2013 年7月1日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 word

武汉理匸大学$数字电子电路》课程设讣说明书 目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (4) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2原理及技术指标 (6) 1.3单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿其总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (16) 3测试的数据和理论计算的比较分析 (16) 4制作与调试中出现的故障、原因及排除方法 (16) 4.1故障a (17) 4.2故障b (17) 4.3故障c (17) 4.4故障d (17) 4.5故障e (18) 5心得体会 (18) 2

数字逻辑课程设计报告

课程设计基本要求 1. 学生可以完成以下题目之一,经指导教师检查、验收、提交设计报告、评定成绩。 2. 学生也可以自拟题目进行设计,但需经指导教师审核同意。 3. 设计方法由学生根据自己情况决定,如采用原理图设计、HDL语言设计等。 4、设计报告应包括设计思路或过程、原理图或HDL文本、实验结果(可选)、设计讨论或心得体会。 一、简要说明 数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。计时有24h 和12h两种。当接通电源或数字钟走时出现误差,都需要对数字钟作手动时、分、秒时间校正。 二、任务和要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。 整点报时。 三、可选用的器件 EDA-V实验箱 // 数字逻辑课程设计实验报告 此设计主要以数字电路的基础理论为指导,采用中、小规模的集成器件CD4060、74LS161、74LS160、和LS248设计而成。该电路采用模块设计、分模块安装、调试等方法设计而成,所选用的器件主要是中小规模的集成芯片,本产品由于采用多片74LS系列的集成芯片组成,生产成本低等原因,使这个产品设计既容易实现,又不会浪费太多成本。 产品由石英晶体振荡器产生频率可以调节的时钟脉冲信号,经十五分频得到秒信号脉冲作为数字钟计数器的时钟信号,当到达整点前一秒时,电路通过一个蜂鸣器准时报时。这个电路还可以通过手动,即过拨动开关来选择是否进行时间较准。 总电路初步设计 1.1 设计内容以及要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。整点报时。 主要参考元器件: CD4060,74LS161,74LS248,74LS74,7400与非门

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

简易数字频率计课程设计

简易数字频率计课程设计 Prepared on 22 November 2020

简易频率计设计 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计 关键字:时序控制频率,数字频率计,555电路 目录

1绪论 课题描述 频率是周期信号每秒钟内所含的周期数值。输入电路:由于输入的信号可以是正弦波,方波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。 设计任务与要求 1.频率测量范围:10~9999Hz; 2.输入电压幅度>300mV; 3.输入信号波形:任意周期信号; 4.显示位数:4 位; 5.电源: 220V 、 50Hz; 6.对所设计电路进行仿真分析。 7.编写设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 基本工作原理及框图 建议频率计电路框图如图1所示。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

相关主题
文本预览
相关文档 最新文档