当前位置:文档之家› 实验十一:CMOS传输门的版图设计

实验十一:CMOS传输门的版图设计

实验十一:CMOS传输门的版图设计
实验十一:CMOS传输门的版图设计

实验十一:CMOS 传输门的版图设计

一、实验目的

1.熟练使用L-Edit 软件; 2.熟悉设计规则; 3.了解版图设计流程。

二、预习要求

1.了解不同颜色代表的不同图层; 2.了解设计规则;

3.了解传输门布局图结构形式。

三、实验内容

1.使用L-Edit 编辑CMOS 传输门的版图; 2.进行DRC 检查; 3.导出SPICE 文件;

4.使用T-SPICE 仿真,验证版图是否正确; 5.分析仿真结果。

四、实验报告要求

实验报告包括以下内容:

1.CMOS 传输门的电路图和完整版图 2.在进行DRC 检查过程中出现的错误; 3.导出的SPICE 文件; 4.T-SPICE 仿真结果; 5.试验中的心得与体会。

五、CMOS 传输门

1.真值表:

2.逻辑表达式:CA+CX Y

C A Y 0 0 X 0 1 X 1 0 0 1

1

1

A Y

5.波形图:

六、操作步骤:

1.新建文件夹:在电脑E盘新建文件夹,文件夹名为ex11。

2.打开L-Edit软件:在桌面上双击L-Edit v13.0快捷键,打开L-Edit v13.0软件,如图所

示。

3.另存新文件:选择File——Save As命令,打开“另存为”对话框,在“保存在”下拉

列表框中选择存储目录(存储在刚才新建的文件夹ex11

中),在“文件名”文本框中输入新文件名称,例如:

ex11。

4.取代设定:选择File——Replace Setup命令,单击出

现的对话框的From file下拉列表右侧的Browser

按钮,选择d:\My Documents\Tanner EDA\Tanner Tools

v13.0\L-Edit and LVS\SPR\Lights\Layout\lights.tdb文件,

如图所示,再单击OK按钮。接着出现一个警告对话框,

按确定按钮,就可将lights.tdb文件的设定选择性应用在

目前编辑的文件,包括格点设定、图层设定等。

5.重新命名:将Cell0的名称重新命名,可选择Cell——Rename命令,打开Rename Cell Cell0 对话框,将cell0名称改成CMOSTG。

6.复制组件:选择Cell——Copy命令,打开Select Cell to Copy对话框,单击其中的Browser按钮,在出现的对话框中选择第八次实验(反相器版图设计)所做的inv.tdb,再在Select Cell to Copy对话框中选择Basecontactn组件,如图所示,单击OK 按钮,则可将Basecontactn组件复制至ex11.tdb文件中。之后再以同样的方式将Basecontactp、input、nmos、pmos、out组件复制到ex11.tdb文件中。

7.引用组件:引用上述复制的组件Basecontactn、Basecontactp、input、nmos、pmos、out,选择Cell——

Instance命令,打开Select Cell to Instance对话框,可以看到,在组件列表中有这6个组件,选择Basecontactn 组件再单击OK 按钮,可以看到编辑画面出现一个Basecontactn组件。

8.编辑以上引用组件成CMOS传输门(CMOSTG)版图:编辑出的CMOS版图如图所示,对其进行设计规则检查(DRC检查)直至无错误为止。

9.提取设置:提取设置主要是对将要提取出的SPICE文件进行一些设置,选择Tools——Extract Setup命

令,在弹出的Setup Extract 对话框的General中勾选Extract Standard Rule Set,然后单击像铅笔图样的图标,如图所示。

点击图标后会弹出另外一个对话框,如下图所示。

在Setup Extract Standard Rule Set对话框的General选项Extract definition file下选择文件lights.ext (d:\My Documents\Tanner EDA\Tanner Tools v13.0\L-Edit and LVS\SPR\Lights\Layout\lights.ext),其他不变。

在Output选项中把SPICE include statement下面的文字清除即可。

10.提取SPICE文件:选择Tools——Extract 命令,即提取出了所画CMOSTG版图的SPICE文件。

11.打开SPICE文件:进入E盘ex11文件夹中打开CMOSTG SPICE文件。SPICE文件如下图所示。

14.设置SPICE文件并保存:对CMOSTG SPICE文件进行设置,包括加载包含文件、设定电源电压、设定输入信号、分析设定、输出设定。设定好后T-SPICE文件中会多出如下信息。设定好后需保存SPICE文件。

15.进行仿真

16.观看仿真波形图:CMOSTG仿真波形如下。

CMOS异或门集成电路课程设计

课程设计任务书 学生姓名:王帅军专业班级:电子1103班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS异或门 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD和L-EDIT软件。 (2)设计一个CMOS异或门电路。 (3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2014.12.29布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2014.12.29-12.31学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.1-1.8对CMOS异或门电路进行设计仿真工作,完成课设报告的撰写。 2015.1.9 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ...................................................................................................................................... I I 1绪论 (1) 2 异或门介绍 (2) 3仿真电路设计 (3) 3.1 ORCAD软件介绍 (3) 3.2仿真电路原理图 (4) 3.3仿真分析 (5) 4版图设计 (8) 4.1 L-EDIT软件介绍 (8) 4.2版图绘制 (8) 4.3 CMOS异或门版图DRC检查 (10) 5心得体会 (11) 参考文献 (12) 附录 (123)

完整word版图的应用的实验报告

实验六图的应用及其实现 一、实验目的1.进一步功固图常用的存储结构。 2.熟练掌握在图的邻接表实现图的基本操作。 3.理解掌握AOV网、AOE网在邻接表上的实现以及解决简单的应用问题。二、实验内容 [题目一]:从键盘上输入AOV网的顶点和有向边的信息,建立其邻接表存储结构,然后对该图拓扑排序,并输出拓扑序列. 试设计程序实现上述AOV网的类型定义和基本操作,完成上述功能。 测试数据:教材图7.28 [题目二]:从键盘上输入AOE网的顶点和有向边的信息,建立其邻接表存储结构,输出其关键路径和关键路径长度。试设计程序实现上述AOE网类型定义和基本操作,完成上述功能。 测试数据:教材图7.29 三、实验步骤 ㈠、数据结构与核心算法的设计描述 基本数据结构: #define TRUE 1 #define FALSE 0 #define OK 1 #define ERROR 0 #define INFEASIBLE -1 typedef int Status; /* Status 是函数的类型,其值是函数结果状态代码,如OK 等*/ #define INFINITY INT_MAX //定义无穷大∞ #define MAX_VERTEX_NUM 20 typedef int VertexType; typedef int InfoType; typedef struct ArcNode // 表结点定义 { InfoType info; int adjvex; //邻接点域,存放与Vi邻接的点在表头数组中的位置 ArcNode *nextarc; //链域,指示依附于vi的下一条边或弧的结点, }ArcNode; typedef struct VNode //表头结点 { int data; //存放顶点信息 struct ArcNode *firstarc; //指示第一个邻接点 }VNode,AdjList[MAX_VERTEX_NUM];

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

D锁存器版图设计实验报告

第一章:绪论 1.1 简介 1.1.1 集成电路 集成电路版图设计是电路系统设计与集成电路工艺之间的中间环节。通过集成电路版图设计,将立体的电路系统转变为二维平面图形。利用版图制作掩模板,就可以由这些图形限定工艺加工过程,最终还原为基于半导体材料的立体结构。 以最基本的MOS器件为例,工艺生产出的器件应该包含源漏扩散区、栅极以及金属线等结构层。按照电路设计的要求,在版图中用不同图层分别表示这些结构层,画好各个图层所需的图形,图形的大小等于工艺生产得到的器件尺寸。正确摆放各图层图形之间的位置关系,绘制完成的版图基本就是工艺生产出的器件俯视图。 器件参数如MOS管的沟道尺寸,由电路设计决定,等于有源区与栅极重叠部分的尺寸。其他尺寸由生产工艺条件决定,不能随意设定。 在工艺生产中,相同结构层相连即可导电,而不同结构层之间是由氧化层隔绝的,相互没有连接关系,只有制作通孔才能在不同结构层之间导电。与工艺生产相对应的版图中默认不同图层之间的绝缘关系,因此可以不必画氧化层,却必须画各层之间的通孔。另外,衬底在版图设计过程中默认存在,不必画出。而各个N阱、P阱均由工艺生产过程中杂质掺杂形成,版图中必须画出相应图形。 1.1.2 版图设计基本知识 版图设计是创建工程制图(网表)的精确的物理描述的过程,而这一物理描述遵守由制造工艺、设计流程以及仿真显示为可行的性能要求所带来的一系列约束。版图设计得好坏,其功能正确与否,必须通过验证工具才能确定。版图的验证通常包括三大部分:设计规则检查(DRC)、电学规则检查(ERC)和版图与电路图对照(LVS)。只有通过版图验证的芯片设计才进行制版和工艺流片。 设计规则的验证是版图与具体工艺的接口, 因此就显得尤为重要, Cadence 中进行版图验证的工具主要有dracula和diva。Dracula 为独立的验证工具, 不仅可以进行设计规则验证(DRC) , 而且可以完成电学规则验证(ERC)、版图与电路验证(LV S)、寄生参数提取(L PE) 等一系列验证工作, 功能强于Diva。 1.2 软件介绍 Cadence是一个大型的EDA软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB板设计。Cadence在仿真、电路图设计、自动布局布线、

电子科技大学 集成电路原理实验模拟集成电路版图设计与验证 王向展

实验报告 课程名称:集成电路原理 实验名称:模拟集成电路版图设计与验证小组成员: 实验地点:科技实验大楼606 实验时间:2017年6月19日 2017年6月19日 微电子与固体电子学院

一、实验名称:模拟集成电路版图设计与验证 二、实验学时:4 三、实验原理 1、电路设计与仿真 实验2内容,根据电路的指标和工作条件,然后通过模拟计算,决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 2、工艺设计 根据电路特点结合所给的工艺,再按电路中各器件的参数要求,确定满足这些参数的工艺参数、工艺流程和工艺条件。 3、版图设计 按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一定的规则布置在Candence下的版图编辑器内。并优化版图结构。 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 1、根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 2、学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证。 通过该实验,使学生掌握CMOS模拟IC版图设计的流程,加深对课程知识的感性认识,增强学生的设计与综合分析能力。 五、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、根据实验2所得参数,自主完成版图设计,并掌握布局布线的基本技巧。 3、整理版图生成文件,总结、撰写并提交实验报告。 六、实验仪器设备 (1)工作站或微机终端一台 (2)EDA仿真软件1套 七、实验步骤 1、根据实验指导书掌握Cadence EDA仿真环境的调用。熟悉版图编辑器Layout Editor的使用。了解基本的布局布线方法及元器件的画法。 2、根据实验2所计算验证的两级共源CMOS运放的元器件参数如表1所示,在版图设计器里画出相应的元器件,对V+、V-、V out、V DD、GND的压焊点位置合理化放置,通过金属画线将各个元器件按实验2的电路图合理连接,避免跳线。 表 1运放各器件版图参数

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

三输入或门版图设计的

1绪论 1.1 设计背景 随着集成电路技术的日益进步,使得计算机辅助设计(CAD)技术已成为电路设计师不可缺少的有力工具[1]。国内外电子线路CAD软件的相继推出与版本更新,使CAD技术的应用渗透到电子线路与系统设计的各个领域,如芯片版图的绘制、电路的绘图、模拟电路仿真、逻辑电路仿真、优化设计、印刷电路板的布线等。CAD技术的发展使得电子线路设计的速度、质量和精度得以保证。在众多的CAD工具软件中,Spice程序是精度最高、最受欢迎的软件工具,tanner是用来IC版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案[2]。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 虽然SPICE开发至今已超过20年,然而其重要性并未随着制程的进步而降低。就国内的设计环境而言,商用的SPICE模拟软件主要有Hspice、Pspice、SBTspice、SmartSpice与Tspice等。 HSpice是Spice程序应用在PC上的程序,它的主要算法与Spice相同。

ADS实验报告

射频微波EDA课程报告 学院: 班级: 姓名: 学号: 指导老师: 2015年5月

一、本课设学习目的 通过射频微波EDA课程设计的学习,在学习EDA仿真软件ADS使用方法的基础上,掌握最基本的射频无源/有源电路的工作原理与系统仿真设计。加深对于EDA的理解,并将理论与实践相结合,用实践证明理论,更深入掌握EDA。 二、本课设报告内容 (一)、利用ADS进行放大器匹配电路设计。要求:1)使用晶体管为bjt_pkg (参数beta=50),2)中心频率为1900MHz,对应的S21>30dB,S11和S22<-30dB。1)相关电路原理简介: (一)1.导入ac_vcc.dns,按照书本所示更改电路图,添加终端负载等元件,写入改变终端阻抗的方程: 2)必要的设计参数、步骤、仿真电路图 2.开始仿真,引入S21的矩形图,并插入标志,得到如下:

3.运行仿真,输出portZ (2)数据列表,可以看出,当频率大于等于400MHz 时,负载阻抗为35欧: 4.在数据显示窗中计算感抗,容抗值: (3)插入列表,显示电感值和感抗范围: freq 100.0 M Hz 200.0 M Hz 300.0 M Hz 400.0 M Hz 500.0 M Hz 600.0 M Hz 700.0 M Hz 800.0 M Hz 900.0 M Hz 1.000 GHz 1.100 GHz 1.200 GHz 1.300 GHz 1.400 GHz 1.500 GHz 1.600 GHz 1.700 GHz 1.800 GHz 1.900 GHz 2.000 GHz 2.100 GHz 2.200 GHz 2.300 GHz 2.400 GHz 2.500 GHz 2.600 GHz 2.700 GHz 2.800 GHz 2.900 GHz 3.000 GHz 3.100 GHz 3.200 GHz 3.300 GHz 3.400 GHz 3.500 GHz 3.600 GHz 3.700 GHz PortZ(2) 50.000 / 0.000 50.000 / 0.000 50.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000

四输入或非门电路和版图设计说明

成绩评定表

课程设计任务书

目录 目录 .................................................................. III 1.绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2.四输入或非门 (2) 2.1 四输入或非门电路结构 (2) 2.2 四输入或非门电路仿真 (3) 2.3 四输入或非门的版图绘制 (4) 2.4 四输入或非门的版图电路仿真 (5) 2.5 LVS检查匹配 (6) 总结 (7) 附录一:原理图网表 (9) 附录二:版图网表 (10)

1.绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit 版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑四输入或非门电路原理图。 2.用tanner软件中的TSpice对四输入或非门电路进行仿真并观察波形。 3.用tanner软件中的L-Edit绘制四输入或非门版图,并进行DRC验证。 4.用tanner软件中的TSpice对四输入或非门的版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对四输入或非门进行LVS检验观察原理图与版图的匹配程度。

集成电路版图设计_实验三习题

实验三: 1、反相器直流工作点仿真 1)偏置电压设置:Vin=1V;Vdd=2V; 2)NMOS沟道尺寸设置:Wnmos= ;Lnmos= ; 3)PMOS沟道尺寸设置:设置PMOS的叉指数为3,每个叉指的宽度为变量wf;这样Wpmos=3*wf;设置wf=Wnmos;Lpmos= ; 4)直流工作点仿真结果:Ids= ;Vout= ;NMOS工作在工作区域;PMOS 工作在工作区域;该反相器的功耗为; 2、反相器直流工作点扫描设置 1)偏置电压设置:Vin=1V;Vdd=2V; 2)在直流仿真下设置Wnmos= ;Lnmos= ;扫描参数为PMOS的叉指宽度wf,扫描范围为到;扫描步长为;仿真输出wf为横坐标、Vout为纵坐标的波形曲线; 观察wf对Vout的影响; 3)在上述步骤的基础上,记录输出电压Vout=1V时对应的PMOS的叉指宽度wf= ; 3、扫描反相器的直流电压转移特性 1)在上述步骤的基础上,记录Ids= ;该反相器的功耗Pdc= ; 2)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Vout为纵坐标的波形曲线;观察Vin对Vout的转移特性;结合理论分析在转移特性曲线上标出A、B、C、D、E五个工作区域; 3)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Ids为纵坐标的波形曲线;观察Vin对Ids的转移特性;结合理论分析反相器的静态功耗和动态功耗; 4、仿真反相器的瞬态特性 1)为反相器设置负载电容为; 2)设置Vin为Vpluse信号源,高电平为;低电平为;Rise time= ;Fall time= ; 周期为; 3)设置瞬态仿真stop time= ;step= ;maxstep= ; 4)观察仿真结果,该反相器的传输延迟= ;

[整理]IC项目单6.

深圳职业技术学院 Shenzhen Polytechnic 实训(验)项目报告Training Item Report

编制部门:电信学院 编制人:赵杰 审核人 编制日期: 2011-2-20 深 圳 职 业 技 术 学 院 Shenzhen Polytechnic 实 训(验)项 目 单 Training Item

5. 利用L-EDIT设计二输入异或门版图 1)在已经有的工程(project)中,建立一个新的cell,命名为xor2 2)将倒相器inv和传输门tran(两个MOS管)的版图instance到新建cell中,并对其flatten 3)对instance得到的版图进行修改,选择正确MOS管尺寸,并进行正确连接,成为两输入异或门版图,并进行DRC检查修改相应错误 4) 在电路的输入、输出端口,以及Vdd与Gnd 5)进行CMOS异或门版图网表抽取,加入仿真命令,进行瞬时和直流分析 Tool Extract General选项 Extract Definition File: c:\Tanner\spr\morbn20.ext Spice Extract Output File: d:\design\xor2.spc Output选项 Comment: √ Write Node name ? Names √ Write Verbose Spice Statement Spice Include Statement . Include c:\tanner\models\ml2_125.md 6)注意各个MOS管尺寸的选取和连接 7)截面观察:TOOLS CROSS-SECTION 8)观察二输入异或门版图设计成果,可单独显示某一图层,或某些特定图层 9)T-SPICE模拟 采用实训4、5的方法,在得到的xor2.spc文件中,插入相应的命令,进行二输入异或门版图的瞬时和直流模拟。 下图为CMOS二输入异或门的参考版图。

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

同或门版图课程设计报告

《集成电路设计》课程设计实验报告 (版图设计部分) 课程设计题目: CMOS结构同或门 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 (二)设计要求 2(三)设计准备 3(四)操作步骤 4(五)有关说明 7(六)心得体会 8

(一)概述 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构;这样,整个电路的体积大大缩小,且引出线和接点的数目也可控制、大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进一大步。目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。 在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 (二)设计要求 设计一个CMOS结构同或门的版图,并作DRC验证。 1.用两输入的异或门和一个非门构建。 2.异或门和非门都用CMOS结构实现。

版图设计实验报告

实验报告册 课程名称:集成电路版图设计教程姓名: 学号: 院系: 专业: 教师: 2016 年5 月15 日 实验一: OP电路搭建

一、实验目的: 1.搭建实体电路。 2.为画版图提供参考。 3.方便导入网表。 4.熟悉使用cadence。 二、实验原理和内容: 根据所用到的mn管分析各部分的使用方法,简化为几个小模块,其中有两个差分对管。合理运用匹配规则,不同的MOS管可以通过打孔O来实现相互的连接。 三、实验步骤: 1.新建设计库。在file→new→library;在name输入自己的学号;右边选择:attch to……;选择sto2→OK。然后在tools→library manager下就可看到自己建的库。 2.新建CellView。在file→new→CellView;cell栏输入OP,type →选择layout。 3.加器件。进入自己建好的电路图,选择快捷键I进行调用器件。MOS 管,在browse下查找sto2,然后调用出自己需要的器件。

4.连线。注意:若线的终点没有别的电极或者连线,则要双击左键才能终止画线。一个节点只能引出3根线。无论线的起点或是终点,光标都应进入红色电极接电。 5.加电源,和地符号。电源Vdd和地Vss的符号在analoglib库中选择和调用,然后再进行连线。 (可以通过Q键来编辑器件属性,把实验规定的MOS管的width和length数据输入,这样就可以在电路图的器件符号中显示出来) 6.检查和保存。命令是check and save。(检查主要针对电路的连接关系:连线或管脚浮空,总线与单线连接错误等)如果有错和警告,在‘schematic check’中会显示出错的原因,可以点击查看纠正。(画完后查看完整电路按快捷键F,连线一定要尽量节约空间,简化电路) 四、实验数据和结果: 导出电路网表的方法:新建文件OP,file→Export→OP(library browser 选NAND2),NAND.cdl,Analog √

(版图设计)

设计题目:三输入与或门 一、设计的目的和意义 设计目的: 1、熟悉并认识版图设计规则(DRC)检测 2、熟悉IC制造工艺文件 3、熟练运用软件设计电路和版图、 4、设计意义: 1.配合集成电路设计基础、集成电路设计硬件描述语言、超大规模集成电 路CAD、器件模型CAD、集成电路版图设计、微电子工艺等课程,培养 IC设计的实践能力; 2.进一步掌握基本的集成电路与器件设计和调试的方法与步骤;掌握设计 输入、编译、模拟、仿真、综合、布图、下载及硬件测试等IC设计基本 过程; 3.初步掌握应用典型的HDL(VHDL、Verilog),基于FPGA的IC设计调试 工具、Zeni2003物理设计工具进行集成电路设计、模拟与硬件仿真的方 法和过程; 4.进一步巩固所学IC设计相关的理论知识,提高运用所学知识分析和解决 实际集成电路工程设计问题的能力; 5.经过查找资料、选择方案、设计仿真器件或电路、检查版图设计、撰写 设计报告等一系列实践过程,实现一次较全面的IC设计工程实践训练,通过理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设 计,毕业后的工作打下基础。 二、设计的主要内容和要求 主要内容: 设计一个CMOS结构三输入与或门(F=AB+C)的版图,并作DRC验证。 要求: 1.用三输入的与或非门和一个非门构建与门。 2.与或非门和非门都用CMOS结构实现。 3.利用九天EDA工具PDT画出其相应版图。 4.利用几何设计规则文件进行在线DRC验证并修改版图。

三、试验思想及说明 根据要求1将试验结果所示的表达式 F =AB+C转化为符合要求的逻辑表达式为: 。 画出相关的真值表如下: 用逻辑电路表示为: 然后画出相应的棒状图如下:

CMOS乘法器版图设计与仿真——第5章-第7章

第5章基于层次化设计的8位并行乘法器的设计 此设计共分为4个层次:由顶层到低层依次是:带进位运算的顶层模块,8位并行乘法器模块,4位乘法器模块,2位乘法器模块,输入模块。下面,将由低层到顶层开始说明: 5.1 输入模块的设计 原理图设计如下: x,y为数据输入;p,c为中间和和中间进位输入;p1,c1为部分积输出 图5-1 输入模块的原理图设计 使用Cadence中的使用Virtuoso Layout Editor工具生成版图: 图5-2 输入模块的版图设计 使用DRC命令检查无设计错误后,使用extract命令提取带有寄生参数的提取使用LVS命令比较原理图和提取的寄生参数图,可得如下结果:

图5-3 输入模块的LVS结果 由结果可知,所设计的版图和原理图相匹配,并且,所设计的版图中共使用了48个晶体管,其中N、PMOS各占一半。 5.2 两位乘法器模块的设计 使用Cadence中的schematic composer工具进行原理图工具设计原理图如下:

图5-4 两位乘法器模块原理图 使用Cadence中的使用Virtuoso Layout Editor工具生成版图: 图5-5 两位乘法器模块版图设计 使用DRC命令检查无设计错误后,使用extract命令提取带有寄生参数的提取使用LVS命令比较原理图和提取的寄生参数图,可得如下结果: 图5-6 两位乘法器模块的LVS结果 由LVS结果可知,所设计的版图和原理图相匹配。此设计中,共使用了192个晶体管,N、PMOS各占一半。 5.3 四位乘法器模块的设计 原理图设计如下:

使用Cadence中的使用Virtuoso Layout Editor工具生成版图: 图5-8 四位乘法器模块版图设计 使用DRC命令检查无设计错误后,使用extract命令提取带有寄生参数的提取使用LVS命令比较原理图和提取的寄生参数图,由图5-9可以看出,所设计的版 图和原理图相匹配。可以看出,设计中共使用了768个晶体管。

集成电路版图培训实验报告

淮海工学院 电子工程学院 实习报告书 实习名称:专业实习 实习地点:苏州集成电路设计中心 实习时间: 2015.7.5— 专业名称:电子科学与技术 班级:电科121 姓名:郝秀 学号:

1 引言 大学生专业实习是大学学习阶段在完成一定的课程后所要进行的非常重要 的一个实践环节,实习是每一个合格的大学生必须拥有的一段经历,它使我们在实践中增强专业意识和实践意识。这次专业实习学校安排我们到苏州国际科技园进行为期五天的实习,在实习期间,我们得到了实习公司的大力支持,更有相关培训老师的的悉心培训指导,通过实习使我们对自己未来工作方向有了更清晰认识,为我们以后进一步走向社会打下坚实的基础。 2 实习目的 专业实习是电子科学与技术专业安排在校外进行的实践性教学环节,也是在专业基础课、专业课等基本学完之后的又一次实践性教学。其目的让学生了解实际的集成电路芯片的设计、版图绘制和检测等过程。把学过的理论知识与实际有机结合起来,为后续专业课的学习以及以后走向工作岗位打下一定的基础。 3 实习目标 (1)熟悉集成电路版图设计、集成电路测试技术、半导体器件识别等。 (2)熟悉集成电子产品制造技术,了解集成电子产品生产装配工艺和过程,生产安全操作规范。熟悉集成电子产品检测,集成电子产品的调试。 (3)通过行业报告、参观展厅、参观封装厂等了解集成电路行业。CMOS电路设计。学会行业软件使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。 (4)学习现场工作人员的优秀品质和敬业精神,培养正确的劳动观念和独立工作能力。 4 实习内容 (1)集成电路行业报告、实训课(测试、版图、行业软件使用)、参观展厅、参观工厂(芯片封装厂)。 (2)基础理论:集成电路行业介绍、CMOS电路设计、半导体物理。工具使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。标准单元实践:STDCELL练习项目实践:MACROCELL练习、综合练习PLL、后端数据处理。 (3)基础知识:数字信号的测试技术、V93K的硬件介绍、基于V93K的软件介绍及测试程序的建立。测试编程与离线调试:V93K的在线测试系统、V93K 的离线工作环境。

模拟CMOS集成电路设计实验指导手册

目录 第一部分.前言 第二部分.实验的基础知识 第三部分.实验内容 1.cadence virtuoso schematic进行电路图的绘制2.cadence virtuoso analog environment电路性能模拟3.cadence virtuoso layout editor进行版图设计4.cadence virtuoso DRC Extract LVS以及后仿真等。第四部分.附件 1.Cadence schematic simple tutorial 2.cadence virtuoso layout editor tutorial 3.SMIC0.18um library

第一部分.前言 本实验为微电子系专业选修课程《模拟CMOS集成电路设计》的配套实验。本实验围绕如何实现一个给定性能参数要求的简单差分运算放大器而展开。 通过该实验,使得学生能够建立模拟集成电路设计的基本概念,了解设计的基本方法,熟悉模拟CMOS集成电路设计的典型流程,了解在每一个流程中所应用的EDA工具,并能较熟练地使用每个流程对应的设计工具。通过让学生自己分析每个流程中所出现的问题,把课程所学知识联系实际,从而增强学生分析问题、解决问题的能力。 本实验的内容以教材一至十章内容为基础,因此,该实验适合在开课学期的后半部分时间开展。 本实验讲义内容安排如下,首先是前言,其次是基础知识,接下来是实际实验内容,具体分成四个过程,最后是附录。建议在实际实验开始之前依次浏览三个附件文档。

第二部分.实验的基础知识 该实验内容所涉及的基础知识包括两部分:电路方面、流程方面和EDA设计工具使用方面。 1.电路有关的基础知识。 该实验是围绕如何实现基于SMIC0.18um工艺下,一个给定性能参数要求的简单差分运算放大器而展开,因此,以电流镜做负载的基本五管差分运算放大器的性能分析是该实验的理论基础。具体内容在讲义以及课件相关章节中有详细介绍。以下用一张图简单重述该电路的有关性能与各元件参数之间的关系分析结论。 相关的设计公式如下:

相关主题
文本预览
相关文档 最新文档