当前位置:文档之家› Matlab中滤波器设计

Matlab中滤波器设计

Matlab中滤波器设计
Matlab中滤波器设计

数字滤波器通常用于改变信号的时域或者频域的某个特性。最简单的数字滤波器时LTI 先行时间不变滤波器。通常分为有限冲击相应FIR 和无限冲击相应IIR 。

这里首先来回顾下滤波器的理论知识,

屏幕剪辑的捕获时间

: 2011/8/22 22:09

屏幕剪辑的捕获时间: 2011/8/22 22:10

传统的模拟滤波器是借助RLC 和运算放大器实现,随着DSP 和FPGA 的出现,数字滤波器借助其优势在某些领域正在逐渐取代模拟滤波器。使用拉普拉斯域分析的模拟原型方法只适用于IIR 设计,FIR 设计需要新的计算算法。此处主要介绍基于Matlab 的设计与实现,随后还探讨基于FPGA 的实现和改进。

下面主要介绍基于GUI 和Fdesign 的方式设计滤波器,和基本的定点化功能以及HDL 代码生成功能的使用。由于介绍命令行设计滤波器的资料比较多,这里就不错介绍。

Author by McCrocodile

如何快速高效的设计数字滤波器时本章节的重点。

数字滤波器辅助设计

2011年6月26日

11:18

使用GUI 设计的方式有两种:FDATool 和filterbuilder 。

FDATool

这儿以设计一个低通滤波器分离两个信号为例,介绍使用fdatool 设计FIR 滤波器。

设计实例:

要求:输入信号x1+x2,x1为100Hz 正弦信号,x2为300Hz 正弦信号,采样率为3KHz

期望输出为

x1.

屏幕剪辑的捕获时间: 2011/8/22 22:38

如上图所示为使用Equiripple 等纹波法设计一个直接型FIR 滤波器,Fs 为采样率为3KHz ,Fpass 通带最大频率110Hz ,Fstop 阻带最小频率为230Hz ,Apass 通带纹波0.1dB ,Astop 阻带衰减60dB 。[通俗来讲可以认为是在0~230Hz,通带的纹波不会超过0.1dB ,阻带从230Hz 起最小衰减不小于60dB].下面

是帮助文档中的描述,详细查阅帮助和其他参考资料了。

滤波器设计之GUI

2011年8月22日

21:32

屏幕剪辑的捕获时间: 2011/8/22 22:52

观察设计的滤波器的性能:

这儿采用两种方法来测试,首先使用Matlab的脚本测试。

1)导出滤波器系数到Matlab Worksapce中,File-->Export….可以选择导出系数或者对象,此处我选择导出为对象,

fs=3e3;

t=0:1/fs:0.1;

x1=sin(100*2*pi.*t);

x2=sin(300*2*pi.*t);

x=x1+x2;%input Signal

y=filter(Hd_firlp,x);%滤波

%观察结构

figure;subplot(4,1,1);plot(t,x1);title('100 Hz')

subplot(4,1,2);plot(t,x2);title('300 Hz')

subplot(4,1,3);plot(t,x);title('Input signal')

subplot(4,1,4);plot(t,y);title('Filter output')

屏幕剪辑的捕获时间: 2011/8/22 23:15

有图可以分析FIR滤波器的性质,可以调整滤波器设计的参数观察结构的差异。

2)使用Simulink模型测试结构,有时候是非常必要的,也是MBD-FPGA数字信号处理中我所建议的。

在File-->Export to Simulink对话框中选择导出模块类型。

屏幕剪辑的捕获时间: 2011/8/22 23:25

屏幕剪辑的捕获时间: 2011/8/22 23:25

等纹波法经常用于设计FIR,甚至可以用来设计希尔伯特滤波器和积分器。选择窗口中左侧和上边的不同菜单,熟悉其功能,尝试修改参数,观察对滤波的影响,更多地东西你可以查阅帮助文档。

FilterBuilder

使用命令行:filterbuilder 启动GUI,如下图,之后选择需要设计的滤波器类型。

屏幕剪辑的捕获时间: 2011/8/28 20:45

同样以上面的例子为例,设计一个滤波器,下面的图可以看出来,里面的选项大同小异,所以使

同样以上面的例子为例,设计一个滤波器,下面的图可以看出来,里面的选项大同小异,所以使用也是大致差不多,喜欢用哪个就用哪个就用那个了。

屏幕剪辑的捕获时间: 2011/8/28 20:47

同样的方式也可以用于设计IIR滤波器,总结下这种滤波器的设计方法基本步骤为:

A、选择一个设计方法

B、尝试(猜测)参数,然后设计滤波器

C、观察滤波器的响应是否符合要求

D、测试知道满足要求

你尝试过上面所有的方法的吗?如果还没有抓紧,只有尝试你才会真正的明白。

首先说说Matlab 字r2008a 之后面向对象的功能变得越来越强大,尤其是在R2011a 中的DSP System ToolBox ,Communication system Toolbox 等系统级的工具箱的整合和出现,面向对象编程方式变得更重要了。如设计DUC 和DDC 等都可以使用,也是MBD 相System Design 发展的一个重要体现吧。

dfilt:

单速滤波器(本小节主要涉及到的)

mfilt :多速率滤波器(后面会介绍到

)

adaptfilt :自适应滤波器(后面会介绍到

)

fdesign 是一个filter design object 滤波器设计对象,使用fdesign 作为一种比较新的设计滤波方法。滤波器实现的对象主要有三种:

上一小节最后部分总结了基于GUI 的设计方法的基本步骤,这些步骤对于基于传统的命令行方式设计的同样也使用。那么问题就出来了:对设计的权衡是低效的。N ot efficient for assessing design trade-offs 。效率就是基于fdesign 设计方法的优势。

面向对象的滤波器设计方法的基本步骤:

1、创建fdesign

对象,设置设计的需求

2

、找出满足需求的设计方法

3

、使用各种可能的方法设计滤波器,并比较选择。

4、分析权衡各设计之间的性能资源:滤波器阶数与阻带衰减和通带波纹,滤波器阶数与过渡带

宽度,算法延时和计算复杂度。

下面还是以一个例子简要说明基于fdesign 的单速滤波器设计,完成目标还是分离上一节中的两个信号:

%使用fdesign方式设计简单单速滤波器

d = fdesign.lowpass %创建一个低通滤波器对象

%% 设置设计要求

d.Fpass=100/3e3;

d.Fstop=250/3e3;

d.Apass=1;

d.Astop=60

% d= fdesign.lowpass('Fp,Fst,Ap,Ast',100,250,1,60,3e3);%另外的方式1

% d = fdesign.lowpass(0.0333,0.0833,1,60);%另外的方式2

%% 查找满足要求的方法

designmethods(d)

% designmethods(d,'fir')

%% 设计滤波器

hd = design(d,'equiripple');

%% 分析滤波器

fvtool(hd);

cost(hd)% 计算开销

info(hd)

M a g n i t u d e (d B )Magnitude Response (dB)

滤波器设计之Fdesign

2011年8月22日

21:33

Normalized Frequency ( rad/sample)M a g n i t u d e (d B

)Magnitude Response (dB)

cost(hd)

ans =

Number of Multipliers : 86

Number of Adders : 85

Number of States : 85

Multiplications per Input Sample : 86

Additions per Input Sample : 85

上面采用了等纹波法设计了滤波器,还以采用其他的方式设计并比较观察。

%% 仿真测试

fs=3e3;

t=0:1/fs:0.1;

x1=sin(100*2*pi.*t);

x2=sin(300*2*pi.*t);

x=x1+x2;%input Signal

y=filter(hd,x);%滤波

plot(t,y);title('Filter output')

00.010.020.030.040.050.060.070.080.090.1

-1-0.5

0.5

1

Filter output

%% 生成Simulink 模块

realizemdl(hd)

同样生成Simulik 模块可以加入Simulink 模型中仿真测试。具体的用法请查阅帮助文档。

屏幕剪辑的捕获时间: 2011/8/25 23:48

这儿由于还没有定点化,所以给HDL代码生成的部分放到后面一小节介绍。下面是Mathworks 给出的资料图。

屏幕剪辑的捕获时间: 2011/8/30 21:08

如果你想深入了解基于fdesign的滤波器设计,建议你深入阅读帮助文档,并尝试里面提供的Demo,这儿仅仅作为简单的入门介绍。尝试之后你才会理解到功能的强大和高效省事。

简单低通滤波器设计及matlab仿真

东北大学 研究生考试试卷 考试科目: 课程编号: 阅卷人: 考试日期: 姓名:xl 学号: 注意事项 1.考前研究生将上述项目填写清楚. 2.字迹要清楚,保持卷面清洁. 3.交卷时请将本试卷和题签一起上交. 4.课程考试后二周内授课教师完成评卷工作,公共课成绩单与试卷交研究生院培养办公室, 专业课成绩单与试卷交各学院,各学院把成绩单交研究生院培养办公室. 东北大学研究生院培养办公室

数字滤波器设计 技术指标: 通带最大衰减: =3dB , 通带边界频率: =100Hz 阻带最小衰减: =20dB 阻带边界频率: =200Hz 采样频率:Fs=200Hz 目标: 1、根据性能指标设计一个巴特沃斯低通模拟滤波器。 2、通过双线性变换将该模拟滤波器转变为数字滤波器。 原理: 一、模拟滤波器设计 每一个滤波器的频率范围将直接取决于应用目的,因此必然是千差万别。为了使设计规范化,需要将滤波器的频率参数作归一化处理。设所给的实际频 率为Ω(或f ),归一化后的频率为λ,对低通模拟滤波器令λ=p ΩΩ/,则1 =p λ, p s s ΩΩ=/λ。令归一化复数变量为p ,λj p =,则p p s j j p Ω=ΩΩ==//λ。所以巴 特沃思模拟低通滤波器的设计可按以下三个步骤来进行。 (1)将实际频率Ω规一化 (2)求Ωc 和N 11010/2-=P C α s p s N λααlg 1 10 110lg 10 /10/--= 这样Ωc 和N 可求。 p x fp s x s f

根据滤波器设计要求=3dB ,则C =1,这样巴特沃思滤波器的设计就只剩一个参数N ,这时 N p N j G 222 )/(11 11)(ΩΩ+= += λλ (3)确定)(s G 因为λj p =,根据上面公式有 N N N p j p p G p G 22)1(11 )/(11)()(-+= += - 由 0)1(12=-+N N p 解得 )221 2exp(πN N k j p k -+=,k =1,2, (2) 这样可得 1 )21 2cos(21 ) )((1 )(21+-+-= --= -+πN N k p p p p p p p G k N k k 求得)(p G 后,用p s Ω/代替变量p ,即得实际需要得)(s G 。 二、双线性变换法 双线性变换法是将s 平面压缩变换到某一中介1s 平面的一条横带里,再通过标准变换关系)*1exp(T s z =将此带变换到整个z 平面上去,这样就使s 平面与z 平面之间建立一一对应的单值关系,消除了多值变换性。 为了将s 平面的Ωj 轴压缩到1s 平面的1Ωj 轴上的pi -到pi 一段上,可以通过以下的正切变换来实现: )21 tan(21T T Ω= Ω 这样当1Ω由T pi -经0变化到T pi 时,Ω由∞-经过0变化到∞+,也映射到了整个Ωj 轴。将这个关系延拓到整个s 平面和1s 平面,则可以得到

matlab滤波器设计

长安大学 数字信号处理综合设 计 专业_______电子信息工程_______ 班级__24030602___________ 姓名_______张舒_______ 学号2403060203 指导教师陈玲 日期_______2008-12-27________

一、课程设计目的: 1. 进一步理解数字信号处理的基本概念、基本理论和基本方法; 2.熟悉在Windows环境下语音信号采集的方法; 3.学会用MATLAB软件对信号进行分析和处理; 4.综合运用数字信号处理理论知识,掌握用MATLAB软件设计FIR和IIR数字滤波器的方法; 5. 提高依据所学知识及查阅的课外资料来分析问题解决问题的能力。 二、课程设计内容: 1.语音信号的采集 利用windows下的录音机录制一段自己的话音,时间控制在1秒左右;并对语音信号进行采样,理解采样频率、采样位数等概念。 2.语音信号的频谱分析 利用函数fft对采样后语音信号进行快速傅里叶变换,得到信号的频谱特性。 3.设计数字滤波器 采用窗函数法和双线性变换法设计下列要求的三种滤波器,根据语音信号的特点给出有关滤波器的性能指标: 1)低通滤波器性能指标,fp=1000Hz,fc=1200Hz, As=100dB,Ap=1dB; 2)高通滤波器性能指标,fc=4800Hz,fp=5000Hz ,As=100dB,Ap=1dB; 3)带通滤波器性能指标,fp1=1200Hz,fp2=3000Hz,fc1=1000Hz,fc2=3200Hz,As=100dB,Ap=1dB。 4.对语音信号进行滤波 比较用两种方法设计的各滤波器的性能,然后用性能好的滤波器分别对采集的语音信号进行滤波;并比较滤波前后语音信号的波形及频谱,分析信号的变化。 5.回放语音信号,感觉滤波前后的声音变化。 三、实验原理 (一)基于双线性Z变换法的IIR数字滤波器设计 由于的频率映射关系是根据推导的,所以使jΩ轴每隔2π/Ts便映射到单位圆上一周,利用冲激响应不变法设计数字滤波器时可能会导致上述的频域混叠现象。为了克服这一问题,需要找到由s平面到z平面的另外的映射关系,这种关系应保证: 1) s平面的整个jΩ轴仅映射为z平面单位圆上的一周; 2) 若G(s)是稳定的,由G(s)映射得到的H(z)也应该是稳定的; 3) 这种映射是可逆的,既能由G(s)得到H(z),也能由H(z)得到G(s); 4) 如果G(j0)=1,那么。 双线性Z变换满足以上4个条件的映射关系,其变换公式为

滤波器设计MATLAB

数字信号处理

第一章概述 《数字信号处理》课程是通信专业的一门重要专业基础课,是信息的数字化处理、存储和应用的基础。通过该课程的课程设计实践,使我们对信号与信息的采集、处理、传输、显示、存储、分析和应用等有一个系统的掌握和理解,巩固和运用在《数字信号处理》课程中所学的理论知识和实验技能,掌握数字信号处理的基础理论和处理方法,提高分析和解决信号与信息处理相关问题的能力,为以后的工作和学习打下基础。 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR)滤波器和有限冲激响应(FIR)滤波器。 其中,设计IIR数字滤波器一般采用间接法(脉冲响应不变法和双线性变换法),应用

最广泛的是双线性变换法。 我们在课本中学到基本设计过程是: ①先将给定的数字滤波器的指标转换成过渡模拟滤波器的指标; ②设计过渡模拟滤波器; ③将过渡模拟滤波器系统函数转换成数字滤波器的系统函数。 而MATLAB信号处理工具箱中的各种IIR数字滤波器设计函数都是采用双线性变换法。第六章介绍的滤波器设计函数butter、cheby1 、cheby2 和ellip可以分别被调用来直接设计巴特沃斯、切比雪夫1、切比雪夫2和椭圆模拟和数字滤波器。 第二章总体方案设计 首先我将所给信号用MATLAB作图分析,然后通过观察st的幅频特性曲线,确定用高通滤波器作为处理信号的滤波器。选取滤波器的通带最大衰减为,阻带最小衰减为60dB为参数。 然后通过编程序调用MATLAB滤波器设计函数ellipord和ellip设计椭圆滤波器;通过编程序调用函数cheb1ord和cheby1设计切比雪夫滤波器,并绘图显示其幅频响应特性曲线。最后使用用滤波器实现函数filter,用两个滤波器分别对信号st进行滤波后绘图显示时域波形,观察滤波效果。 实验程序框图如图所示:

关于滤波器设计的matlab函数简表

关于滤波器设计、实现的Matlab函数分类函数名功能说明 滤波器分析 (求幅频、相频响应)abs求模值 angle求相角 freqs模拟滤波器的频率响应freqz数字滤波器的频率响应grpdelay群延迟 impz脉冲响应(离散的)zplane画出零极点图 fvtool滤波器可视化工具 滤波器实现(求输入信号通过滤波器的响应)conv/conv2卷积/二维卷积 filter求信号通过滤波器的响应 IIR滤波器阶数估算buttord巴特沃斯滤波器阶数估算 cheb1ord切比雪夫Ⅰ型滤波器阶数估算 cheb2ord切比雪夫Ⅱ型滤波器阶数估算 ellopord椭圆滤波器阶数估算 IIR数字滤波器设计(求系统函数H(z))butter cheby1 cheby2 ellip 模拟低通滤波器原型(归一化的)buttap模拟低通巴特沃斯滤波器原型cheb1ap模拟低通切比雪夫Ⅰ型滤波器原型cheb2ap模拟低通切比雪夫Ⅱ型滤波器原型ellipap模拟低通椭圆滤波器原型besselap模拟低通贝塞尔滤波器原型 模拟低通滤波器设计(求系统函数H(s))butter巴特沃斯滤波器设计cheby1切比雪夫Ⅰ型滤波器设计cheby2切比雪夫Ⅱ型滤波器设计ellip椭圆滤波器设计besself贝塞尔滤波器设计 模拟滤波器频带变换lp2bp低通→带通 lp2bs低通→带阻 lp2hp低通→高通 lp2lp低通→低通 滤波器离散化(由模拟滤波器得到数字滤波器)bilinear脉冲响应不变法impinvar双线性变换法 FIR滤波器设计fir1基于窗函数的FIR滤波器设计 fir2基于窗函数的任意响应FIR滤波器设 计 窗函数boxcar矩形窗 rectwin矩形窗 bartlett三角窗

数字滤波器的MATLAB设计与DSP上的实现

数字滤波器的MAT LAB设计与 DSP上的实现 数字滤波器的MATLAB 设计与DSP上的实现 公文易文秘资源网佚名2007-11-15 11:56:42我要投稿添加到百度搜藏 摘要:以窗函数法设计线性相位FIR数字滤波器为例,介绍用MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在CCS5000仿真开发,然后将程序加载到TMS320VC5409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实现的关键问题。关键词 摘要:以窗函数法设计线性相位 FIR数字滤波器为例,介绍用 MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在 CCS5000仿真开发,然后将程序加载到 TMS320VC5 409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实 现的关键问题。 关键词:数字滤波器MATLAB DSP 引言 随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应

用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。 1数字滤波器的设计 1.1数字滤波器设计的基本步骤 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR )滤波器和有限长冲激响应(FIR )滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间, 在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤 其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给岀幅度和相位响应。幅度指标主要以两种方式给岀。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FI R滤波器的设计。第二种指标是相对指标。它以分贝值的形式给岀要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。 (2)逼近

基于matlab的FIR数字滤波器设计(多通带,窗函数法)

数字信号处理 课程设计报告 设计名称:基于matlab的FIR数字滤波器设计 彪

一、课程设计的目的 1、通过课程设计把自己在大学中所学的知识应用到实践当中。 2、深入了解利用Matlab设计FIR数字滤波器的基本方法。 3、在课程设计的过程中掌握程序编译及软件设计的基本方法。 4、提高自己对于新知识的学习能力及进行实际操作的能力。 5、锻炼自己通过网络及各种资料解决实际问题的能力。 二、主要设计内容 利用窗函数法设计FIR滤波器,绘制出滤波器的特性图。利用所设计的滤波器对多个频带叠加的正弦信号进行处理,对比滤波前后的信号时域和频域图,验证滤波器的效果。 三、设计原理 FIR 滤波器具有严格的相位特性,对于信号处理和数据传输是很重要的。 目前 FIR滤波器的设计方法主要有三种:窗函数法、频率取样法和切比雪夫等波纹逼近的最优化设计方法。常用的是窗函数法和切比雪夫等波纹逼近的最优化设计方法。本实验中的窗函数法比较简单,可应用现成的窗函数公式,在技术指标要求高的时候是比较灵活方便的。 如果 FIR 滤波器的 h(n)为实数, 而且满足以下任意条件,滤波器就具有准确的线性相位: 第一种:偶对称,h(n)=h(N-1-n),φ (ω)=-(N-1)ω/2 第二种:奇对称,h(n)=-h(N-1-n), φ(ω)=-(N-1)ω/2+pi/2 对称中心在n=(N-1)/2处 四、设计步骤 1.设计滤波器 2.所设计的滤波器对多个频带叠加的正弦信号进行处理 3.比较滤波前后信号的波形及频谱 五、用窗函数设FIR 滤波器的基本方法 基本思路:从时域出发设计 h(n)逼近理想 hd(n)。设理想滤波器的单位响应在时域表达为hd(n),则Hd(n) 一般是无限长的,且是非因果的,不能

matlab数字滤波器设计程序

%要求设计一butterworth低通数字滤波器,wp=30hz,ws=40hz,rp=0.5,rs=40,fs=100hz。>>wp=30;ws=40;rp=0.5;rs=40;fs=100; >>wp=30*2*pi;ws=40*2*pi; >> [n,wn]=buttord(wp,ws,rp,rs,'s'); >> [z,p,k]=buttap(n); >> [num,den]=zp2tf(z,p,k); >> [num1,den1]=impinvar(num,den); Warning: The output is not correct/robust. Coeffs of B(s)/A(s) are real, but B(z)/A(z) has complex coeffs. Probable cause is rooting of high-order repeated poles in A(s). > In impinvar at 124 >> [num2,den2]=bilinear(num,den,100); >> [h,w]=freqz(num1,den1); >> [h1,w1]=freqz(num2,den2); >>subplot(1,2,1); >>plot(w*fs/(2*pi),abs(h)); >>subplot(1,2,2); >>plot(w1*fs/(2*pi),abs(h1)); >>figure(1); >>subplot(1,2,1); >>zplane(num1,den1); >>subplot(1,2,2); >>zplane(num2,den2);

基于MATLAB的滤波器设计

基于MATLAB 的滤波器设计 摘 要:利用MA TLAB 设计滤波器,可以按照设计要求非常方便地调整设计参数,极大地减轻了设计的工作量,有利于滤波器设计的最优化。Matlab 因其强大的数据处理功能被广泛应用于工程计算,其丰富的工具箱为工程计算提供了便利,利用Matlab 信号处理工具箱可以快速有效地设计各种数字滤波器,设计简单方便。本文介绍了在MATLAB R2011a 环境下滤波器设计的方法和步骤。 关键词:滤波器,matlab ,FIR ,IIR Abstract :By using MATLAB , we can design filters and modify the filters’parameters conveniently according to our demands. This relieves greatly design work loads and makes for optimization of filter designing. Matlab can be widely used in engineering calculations because of its powerful functions of data processing. Its rich toolbox makes the calculations easy. With Matlab signal processing toolbox, various digital filters can be designed effectively in simple way. This article introduce the methods and processes in the circumstance of MATLAB R2011a. Keywords :filter ,matlab ,fdatool 1.滤波器的原理 凡是可以使信号中特定的频率成分通过,而极大地衰减或抑制其他频率成分的装置或系统都称之为滤波器,相当于频率“筛子”。 滤波器的功能就是允许某一部分频率的信号顺利的通过,而另外一部分频率的信号则受到较大的抑制,它实质上是一个选频电路。 滤波器中,把信号能够通过的频率范围,称为通频带或通带;反之,信号受到很大衰减或完全被抑制的频率范围称为阻带;通带和阻带之间的分界频率称为截止频率;理想滤波器在通带内的电压增益为常数,在阻带内的电压增益为零;实际滤波器的通带和阻带之间存在一定频率范围的过渡带。 a .理想滤波器的频率特性 理想滤波器:使通带内信号的幅值和相位都不失真,阻喧内的频率成分都衰减为零的滤波器,其通带和阻带之间有明显的分界线。 如理想低通滤波器的频率响应函数为 0()()jw t C H jw A l W W Ω-=≤ 或 ()0()C H jw W W =>理想滤波器实际上并不存在。 b .实际滤波器 实际滤波器的特性需要以下参数描述: 1)恒部平均值A0:描述通带内的幅频特性;波纹幅度:d 。 2)上、下截止频率:以幅频特性值为A0/2时的相应频率值WC1,WC2作为带通滤波器的上、下截止频率。带宽21C C B W W =-。

基于MATLAB的数字滤波器设计

基于matlab的数字滤波器设计 摘要:本文介绍的是数字滤波器在MATLAB环境下的设计方法。数字滤波是数字信号处理的重要内容,在实际应用中有非常大的作用。我们研究的数字滤波器可分为IIR和FIR两大类。对于IIR数字滤波器的设计,我们需要借助模拟原型滤波器,然后再将模拟滤波器转化为数字滤波器,文中采用的设计方法是脉冲响应不变法、双向性变换法和完全函数设计法;对于FIR数字滤波器的设计,可以根据所给定的频率特性直接设计,文中采用的设计方法是窗函数法。根据IIR 滤波器和FIR滤波器的特点,本文在MATLAB坏境下分别用双线性变换法设计IIR和用窗函数设计FIR数字滤波器,并让这两种滤波器对采集的语音信号进行分析和比较,经过分析,最后给出了IIR和FIR对语音滤波的效果,并总结这两种滤波器在MATLAB环境下设计方法的优缺点。 关键词:数字滤波器;IIR ;FIR ;MATLAB

The Design of Digital Filter based on MATLAB Abstract:This article describes a digital filter in the MATLAB environment design. Digital filtering is an important part of digital signal processing which is playing a very big role in practice .The digital filter we studied can be divided into two categories——IIR and FIR. For the IIR digital filter design, we will need the help of simulation prototype filter, analog filters and then converted it into digital filter For the IIR digital filter design .The design methods used in the text is the same impulse response method, bi-sexual transformation and full function design ;We can based on the frequency characteristics of the given direct design, design method used in the text is the window function for FIR digital filter design. Based on the characteristics of IIR filter and FIR filters ,the bad paper in the MATLAB environment under the bilinear transformation method were used to design IIR and FIR with window function digital filter design and filters to capture both the voice signal analysis and compare. Through analysis of IIR and FIR Finally, the effect of filtering on the speech, and concluded the advantages and disadvantages in the two filter design methods in the MATLAB environment. Key words: Digital Filter ;IIR;FIR;MATLAB

高级数字滤波器设计及Matlab实现

高级数字滤波器设计及Matlab 实现 利用Parks-McClellan 算法设计线性相位FIR 滤波器 一、 算法原理 长度为2n+1的线性相位数字滤波器的传输函数为:20 ()n k k k G z h Z -== ∑。当 Z=exp(j T ω)=exp(2j F π)时,可得到频率响应: ()exp(2)cos 2n k k G F j nF d k F ππ==-∑ exp(2)()j F H F π=- 其中2n k k d h -=,k=0,……,n-1,0n d h = max ()()()W F D F H F - 对于一个理想的低通滤波器上式中的H(F)可以表示为: 误差加权函数: 允许设计者自己给定通带和阻带内的误差范围。令p s B B A = ,设计长度为2n+1的线性相位低通滤波器只要找到k d 并使得m a x ()()()W F D F H F -最小。 设空间A 是[0,1/2]的封闭子空间,为了使0 ()cos 2n k k H F d kF π== ∑是D(F) 在A 上唯一的最佳逼近,加权误差方程()()[()()]E F W F D F H F =-在A 上至少要有n+2个交错点。因此1()()i i E F E F E -=-=±,011,n i F F F F A +<∈ ,

max ()E E F =。算法的流程如图1所示。 对于给定的n+2个频率点,需要计算n+2个方程: ()(()())(1)k k k k W F H F D F ρ-=-- 写成矩阵的形式就是: 图 1.

0000001 1 1 1 1011 1 1 1 11 1cos 2cos 4cos 2()()11cos 2cos 4cos 2()()()(1)1cos 2cos 4cos 2()n n n n n n n F F nF W F d D F d F F nF D F W F d D F F F nF W F ππππππρπππ++++++?? ???? ?? ?? ??-????????????=??????????????????-?? ????? ? 通过该方程组可得: 其中: 利用拉格朗日插值公式可得: 这里 利用求得的H(F)求出误差函数E(F)。如果对所有的频率都有()E F ρ≤,说明ρ是纹波极值,交错频率点121,n F F F + 是交错频率点。若存在某些频率使得()E F ρ>,说明初始交错点组中的某些点需要交换。 对于上次确定的121,n F F F + 中每一点,都检查其附近是否存在某一频率 ()E F ρ>,如果有再在该点附近找出局部极值点,并用该,点代替原来的

用Matlab设计FIR滤波器的三种方法

用MATLAB信号处理工具箱进行FIR滤波器设计的三种方法 摘要介绍了利用MATLAB信号处理工具箱进行FIR滤波器设计的三种方法:程序设计法、FDATool设计法和SPTool设计法,给出了详细的设计步骤,并将设计的滤波器应用到一个混和正弦波信号,以验证滤波器的性能。 关键词 MATLAB,数字滤波器,有限冲激响应,窗函数,仿真 1 前言 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR)滤波器和有限冲激响应(FIR)滤波器。与IIR滤波器相比,FIR的实现是非递归的,总是稳定的;更重要的是,FIR滤波器在满足幅频响应要求的同时,可以获得严格的线性相位特性。因此,它在高保真的信号处理,如数字音频、图像处理、数据传输、生物医学等领域得到广泛应用。 2 FIR滤波器的窗函数设计法 FIR滤波器的设计方法有许多种,如窗函数设计法、频率采样设计法和最优化设计法等。窗函数设计法的基本原理是用一定宽度窗函数截取无限脉冲响应序列获得有限长的脉冲响应序列,主要设计步骤为: (1) 通过傅里叶逆变换获得理想滤波器的单位脉冲响应hd(n)。 (2) 由性能指标确定窗函数W(n)和窗口长度N。 (3) 求得实际滤波器的单位脉冲响应h(n), h(n)即为所设计FIR滤波器系数向量b(n)。 (4) 检验滤波器性能。 本文将针对一个含有5Hz、15Hz和30Hz的混和正弦波信号,设计一个FIR带通滤波器,给出利用MATLAB实现的三种方法:程序设计法、 FDATool设计法和SPTool设计法。参数要求:采样频率fs=100Hz,通带下限截止频率fc1=10 Hz,通带上限截止频率 fc2=20 Hz,过渡带宽6 Hz,通阻带波动0.01,采用凯塞窗设计。 2 程序设计法 MATLAB信号处理工具箱提供了各种窗函数、滤波器设计函数和滤波器实现函数。本文的带通滤波器设计及滤波程序如下: [n,Wn,beta,ftype]=kaiserord([7 13 17 23],[0 1 0],[0.01 0.01 0.01],100); %得出滤波器的阶数n=38,beta=3.4 w1=2*fc1/fs; w2=2*fc2/fs;%将模拟滤波器的技术指标转换为数字滤波器的技术指标 window=kaiser(n+1,beta);%使用kaiser窗函数

matlab设计滤波器程序

Fs=1000; t=0.1:1/Fs:0.3; A=1; B=fir1(100,[0.12 0.18],'bandpass');%fir1基于窗函数的有限脉冲响应滤波器 X=3*sin(2*pi*80*t)+sqrt(5)*randn(1,length(t)); subplot(2,2,1) plot(t,X) title('叠加白噪声的正弦信号') xlabel('time (seconds)') X1=3*sin(2*pi*80*t); subplot(2,2,2) plot(t,X1) title('正弦信号') xlabel('time (seconds)') Y=filter(B,A,X); subplot(2,2,3) plot(t,Y) title('Hamming窗滤波后的信号') xlabel('time (seconds)') B=fir1(100,[0.12 0.18],'bandpass',kaiser(101)); Y1=filter(B,A,X); subplot(2,2,4) plot(t,Y1) title('bartlett窗滤波后的信号') xlabel('time (seconds)') B=fir1(100,[0.12 0.18],'bandpass',barthannwin(101)); Y2=filter(B,A,X); subplot(2,2,4) plot(t,Y1) title('barthannwin窗滤波后的信号') xlabel('time (seconds)') figure(2) Xk=fft(X1); Mk=abs(Xk); subplot(2,2,1) stem(Mk)

滤波器设计与信号处理的matlab实现(2014年12月)

滤波器设计与信号处理的MATLAB 实现 庞 勇 2014年12月 1. IIR 滤波器设计和MATLAB 实现 1.1 IIR 滤波器设计原理 对于IIR 滤波器设计,我们主要学习的是由模拟滤波器设计数字滤波器的方法,设计思路和流程如图: 目的满足 先设计 基于第3步由模拟滤波器向数字滤波器的转化方式,IIR 滤波器设计方法分为“脉冲响应不变法”和“双线性变换法”,由于“脉冲响应不变法”存在混叠误差的缺陷,因此一般我们多选“双线性变换法”,而对于第2步模拟滤波器的设计,我们主要学习的是巴特沃斯设计方法,因此这里以“巴特沃斯滤波器双线性变换”设计法为例来阐述IIR 滤波器的设计方法和matlab 实现。 “巴特沃斯滤波器双线性变换”设计法的设计流程: 终始DF 指标 DF () c H s () d H z 11 211z s T z ---= +

原理解释: δ1δ-1+δ 222p T tg 1-1+2 s T 2 2() c H j Ω2p T ω 2 δ1 1δ-1 1+δ2 s T ω(d p ωs ω0 δ1δ-1 1+π 其中巴特沃斯模拟滤波器设计流程为: 1+2 δ1δ-δH 得两点代入()j ΩN Ωj A 点代入 ()H Ω得c H(s)H(-s)极点分布:共2N 个共圆c Ω等角距N π 1.2 “巴特沃斯滤波器双线性变换”设计法的matlab 实现: 按照设计原理和流程,每一步都可以在matlab 里进行编程计算,因此完全可以编程实现从技术指标到系统函数的整个计算,并且matlab 的信号处理工具箱已经把这整个计算过程编成函数供人们直接调用,我们只要了解这个函数的使用方法就可以了。

matlab工具箱设计滤波器

MATLAB中用FDATool设计滤波器及使用 该文章讲述了MATLAB中用FDATool设计滤波器及使用. 1. 在Matlab中键入fdatool运行Filter Design and Analysis Tool。具体使用请参见Matlab Help中的Signal Processing Toolbox->FDATool。 2. 在fdatool工具中应该注意的几个问题:(a)Fstop(阻带截止频率)不能大于或等于采样频率Fs/2,这是由于数字滤波器设计的方式决定的。(b)将设计好的滤波器导出,可以采用两种方式Export the filter either as filter coefficients variables or as a dfilt or mfilt filter object variable。(详细说明参见Matlab Help中的Signal Processing Toolbox-> FDATool-> Exporting a Filter Design。 导出:File---Export弹出EXPORT对话框,选择“Export As”为“Objects”,“Varable Names”可以更改,默认为Hd。 3. (a)如果导出的是dfilt or mfilt filter object variable,则可以用[b, a] = tf(Hd)将dfilt filter object转换为传递函数形式,然后用d=filter(b,a,x); 使用这个滤波器。其中:filter是默认函数,b、a是刚刚设计的传递函数参数,x是原始采集信号,d为滤波后的信号。x=importdata('E:\matlab_work\xy\bb\O6.txt'); N=length(x); %取长度 fs=4000; %采样频率 t=(0:N-1)/fs; 输出Hd; [b,a]=tf(Hd);%得到传递函数 d=filter(b,a,x); subplot(311); plot(t,x); title('原始信号'); xlabel('t'); ylabel('y'); grid on; 基于fdatool工具的数字滤波器的matlab设计 数字滤波器的matlab设计 1.1 fdatool界面设计 1.1.1 fdatool的介绍 fdatool(filter design & analysis tool)是matlab信号处理工具箱里专用的滤波器设计分析工具,matlab6.0以上的版本还专门增加了滤波器设计工具箱(filter design toolbox)。fdatool可以设计几乎所有的基本的常规滤波器,包括fir和iir的各种设计方法。它操作简单,方便灵活。

基于MATLAB+GUI滤波器设计

MATLAB课程设计报告 基于MATLAB GUI的“滤波器设计软件”设计

摘要 面对庞杂繁多的原始信号, 如何提取所需信号、抑制不需要的信号这就需要使用滤波器。滤波器的作用主要是选择所需频带的信号内容而抑制不需要的其他频带的信号内容。数字滤波器因其精度高、可靠性好、灵活性大等优点, 在语音信号处理、信号频谱估计、信号去噪、无线通信中的数字变频以及图像处理等工程实际应用中都很广泛。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。作为强大的计算软件, MATLAB 提供了编写图形用户界面的功能。所谓图形用户界面, 简称为GUI, 是由各种图形对象, 如图形窗口菜单按钮、文本框等构建的用户界面。 MATALB 可以创建图形用户界面GUI ( GraphicalUser Interface) ,它是用户和计算机之间交流的工具。M ATLAB 将所有GUl 支持的用户控件都集成在这个环境中并提供界面外观、属性和行为响应方式的设置方法,随着版本的提高,这种能力还会不断加强。而且具有强大的绘图功能,可以轻松的获得更高质量的曲线图。 关键词:MATLAB GUI IIR滤波器FIR滤波器

目录 1设计任务 (1) 2 MATLAB GUI的简介 (2) 3 滤波器设计原理 (3) 3.1滤波器概述 (3) 3.2 IIR数字滤波器 (3) 3.2.1 IIR数字滤波器设计原理 (3) 3.2.2 IIR滤波器设计思想 (4) 3.2.3 IIR滤波器设计编程实现 (5) 3.3 FIR数字滤波器 (7) 3.3.1 FIR数字滤波器设计原理 (7) 3.3.2 FIR滤波器设计思想 (8) 4 基于Matlab GUI的数字滤波器设计思路及实现 (11) 4. 1 GUI界面设计概述 (11) 4.2 “滤波器设计软件”设计所实现任务 (13) 4.3 基于Matlab GUI的数字滤波器设计实现 (15) 4.3.1 “滤波器设计软件”GUI界面设计 (15) 4.3.2 “滤波器设计软件”回调函数编写 (16) 4.3.3AutoChoose.m程序的编写 (21) 4.4 运行和结果显示 (27) 5 设计总结和心得 (32) 5.1 设计总结 (32) 5.2 设计心得 (33) Abstract (34) 参考文献 (35) 附录

基于matlab的滤波器设计第三章

第三章 ECG 检测方法 本章将主要介绍本文所采用的ECG 信号的检测方法的基本原理。首先要对ECG 信号进行预处理:采用滤波技术消除各种噪声,采用Tompkins 算法检测出QRS 波的峰值,并对周期性的ECG 信号进行分割。然后介绍采用AR 建模技术提取ECG 信号的特征的基本原理,以及如何采用相关系数及信噪比两个指标来确定建模阶次P ,最后介绍本文采用的BP 神经网络分类法和基于二次判别函数分类方法的基本原理及相关的计算公式。 3.1信号的预处理 本文中所使用的ECG 信号取自MIT-BIH 数据库:NSR 信号取自“MIT-BIH arrhythmia database ”,其采样频率是360Hz ;VT 信号和VF 信号取自“MIT-BIH Ventricular Arrhythmia database ”,其采样频率是250Hz 。信号的频率都统一转化为360Hz 。在提取信号特征之前,需要对ECG 信号进行预处理:采用滤波技术消除实时测量时可能存在的噪音,采用Tompkins 算法检测出QRS 波的峰值,并对周期性的ECG 信号进行分割,选取有效的窗口数据。 1.信号的滤波 在实时测量得到的ECG 信号通常带有噪音,噪声的来源是多种多样的,病人的呼吸 ,电极的移动,电源的工频干扰,肌肉收缩引起的高频噪音等,这些噪音和干扰会对ECG 信号检测准确性带来很大的影响。所以首先要消除这些噪音,才能进行进一步的分析。据有关资料显示,电源引起的噪音频率约(50-60Hz),呼吸引起的噪音频率约0.2Hz 左右,电极移动引起的低频噪音约0.3HZ 肌肉收缩引起的高频噪音。本文采用带通滤波器(BPF )(由一个低通滤波器和一个高通滤波器)组成 ,对ECG 信号进行滤波。其上下边带截止频率是1Hz 和50Hz ,能有效地消除各种噪音[24]。 低通滤波器(LFP )的系统函数如下: 2 11262121)(----+-+-=Z z Z z z L ( 3-1) 2. QRS 波峰值检测 本文中的ECG 信号QRS 波的检测算法最初由Pan Tompkins 提出,Hamilton 和Tompkins 对该算法进行了进一步的研究,QRS 波检测包括QRS 波峰值位置、宽度、面积的检测。在本文中,只须检测出QRS 波的峰值点位置,为下一步ECG 信号的分割作准备,QRS 波峰值检测的各个步骤的系统框图如下[24]:

Matlab实现滤波器[1]

滤波器设计示例 1、Matlab 设计IIR 基本示例 (1) (1)直接设计数字滤波器 (1) (2)脉冲相应不变法 (2) (3)双线性变换 (2) (4)双线形变换法设计chebyshev 高通滤波器 (3) (5)使用MatLab6 下的Filter Designed Tool (4) 2、Matlab 设计IIR 高级示例 (4) (1)双线性变换实现ButterWorth 低通 (4) (2)双线性变换法实现Chebyshev 低通(I 型) (5) (3)低通变换为高通 (6) (2)低通变换为带通 (7) (3)低通变换为带通(双线性变换) (8) 3、Matlab 窗函数设计FIR 滤波器基本示例 (9) (1)低通滤波器实现 (9) (2)带通滤波器实现 (9) 1、Matlab设计IIR基本示例[返回] (1)直接设计数字滤波器[返回] 低通,采样频率为1Hz,通带临界频率f p =0.2Hz,通带内衰减小于1dB(αp=1);阻 带临界频率f s=0.3Hz,阻带内衰减大于25dB(αs=25)。设计一个数字滤波器满足以上参数。% Matlab 使用归一化的频率参数(临界频率除以采样频率的1/2)。 %这样临界频率参数的取值范围在0 和1 之间,1 代表Fs/2(用角频率表示的时候对应π)FS=1 [n,Wn]=buttord(0.2/(FS/2),0.3/( FS /2),1,25); [b,a]=butter(n,Wn); freqz(b,a,512, FS);

(2)脉冲相应不变法[返回] 低通,采样频率为1Hz,通带临界频率f p =0.2Hz,通带内衰减小于1dB(αp=1);阻 带临界频率f s=0.3Hz,阻带内衰减大于25dB(αs=25)。设计一个数字滤波器满足以上参数。 FS=1 [n,Wn]=buttord(0.2*2*pi,0.3*2*pi,1,25,'s'); %临界频率采用角频率表示 [b,a]=butter(n,Wn,'s'); %freqs(b,a) %设计模拟的 [bz,az]=impinvar(b,a,FS); %映射为数字的 freqz(bz,az,512,FS) (3)双线性变换[返回] 低通,采样频率为1Hz,通带临界频率f p =0.2Hz,通带内衰减小于1dB(αp=1);阻 带临界频率f s=0.3Hz,阻带内衰减大于25dB(αs=25)。设计一个数字滤波器满足以上参数。 FS=1 %通带、阻带截止频率 Fl=0.2;Fh=0.3; %频率预畸 wp=(Fl/FS)*2*pi; %临界频率采用角频率表示 ws=(Fh/FS)*2*pi; %临界频率采用角频率表示 OmegaP=2*FS*tan(wp/2); OmegaS=2*FS*tan(ws/2); [n,Wn]=buttord(OmegaP,OmegaS,1,25,'s'); [b,a]=butter(n,Wn,'s'); %freqs(b,a) %设计模拟的 [bz,az]=bilinear(b,a,FS); %映射为数字的 freqz(bz,az,512,FS,'whole')

基于MATLAB的低通滤波器的设计要点

通信系统综合设计与实践 题目基于MATLAB的低通滤波器设计院(系)名称信院通信系 专业名称通信工程 学生姓名 学生学号 指导教师 2013年 5 月25 日 目录

摘要 (2) 1.巴特沃斯低通数字滤波器简介 (3) 1.1选择巴特沃斯低通滤波器及双线性变换法的原因 (4) 1.2巴特沃斯低通滤波器的基本原理 (4) 1.2.1巴特沃斯低通滤波器的基本原理 (4) 1.2.2双线性变换法的原理............... . (5) 1.3数字滤波器设计流程图............... (7) 1.4数字滤波器的设计步骤............... (7) 2.巴特沃斯低通数字滤波器技术指标的设置 (8) 3.用matlab实现巴特沃斯低通数字滤波器的仿真并分析 (9) 3.1用matlab实现巴特沃斯低通数字滤波器的仿真 (9) 3.2波形图分析............... ............... (10) 4.用Simulink实现巴特沃斯低通数字滤波器的仿真并分析 (11) 4.1 Simulink简介............... ............... . (11) 4.2用Simulink实现巴特沃斯低通数字滤波器的仿真 (11) 4.3波形图分析............... ............... . (11) 4.3.1 Simulink波形图分析............... ....................... .. (11) 4.3.2与matlab波形的比较............... .. (14) 5.总结与体会.............................. (15) 6.附录 (16) 摘要

相关主题
文本预览
相关文档 最新文档