当前位置:文档之家› 基于CAN总线的数据采集、传输及监控系统设计

基于CAN总线的数据采集、传输及监控系统设计

基于CAN总线的数据采集、传输及监控系统设计
基于CAN总线的数据采集、传输及监控系统设计

复旦大学

硕士学位论文

基于CAN总线的数据采集、传输及监控系统设计

姓名:张雪玲

申请学位级别:硕士

专业:软件工程

指导教师:徐如志;牛军钰

20070125

基于CAN总线的液位数据采集、传输及监{审系统设计第一二章系统的硬件设计

图2—2CAN总线的传输电平

Fig.2—2LevelonCANbus

“隐性”表示逻辑“1”,“显性”表示逻辑“0”。在“隐性”状态下VCAN-H和VCAN—L被固定在平均电压电平,VDIFF近似为0,而“显性’’状态以大于最小阈值的差分电压表示,如图2—2所示。

但需要注意的是当“隐性位”和“显性位”同时发送时,最后的值将为“显性”,这也是故障界定和错误检测的根本基础。

2.报文及帧结构

CAN总线以报文为单位进行信息传送。报文中包含标识符ID,它代表了报文的优先权。CAN系统中,一个CAN节点不使用有关系统结构的任何信息,如站地址等。报文中的标识符ID)并不指出报文的目的地址,而是描述数据的含义。网络中的所有节点都可以由ID来自动决定是否接收该报文。每个节点都有ID寄存器和屏蔽寄存器,接收到的报文只有与该屏蔽的功能相同时,该节点才开始正式接收报文,否则不会理睬后续的报文。CAN支持4种不同类型的报文帧结构。

由于CAN协议有A和B两种版本,所以也就有相应的两种帧格式,一种含有1l位标识符,称为标准帧,而另一种含有29位标识符,则称为扩展帧。本课题CAN协议中采用的是29位的扩展帧格式。

在报文的传输中,报文具体分为数据帧(DataFrame)、远程帧(RemoteFrame)、错误帧(ErrorFrame)和超载帧(OverloadFrame)。

①数据帧

数据帧用于在各个节点之间传送命令和数据。它由7个不同的位场组成:帧起始(StartofFrame)、仲裁场(ArbitrationField)、控制场(ControlField)、数据场(DataField)、CRC场(CRCField)、应答场(ACKField)和帧结尾(EndofFrame)。其结构如图2—3。

?帧起始(SOF)

标志数据帧和远程帧的起始,仅由一个显性位组成,只有在总线空闲时才允许站点开始发送数据。所有的站同步于首先开始发送报文站点的帧起始前沿。

图2--3扩展报文的数据帧结构

Fig.2—3ExtendedFormatofDataFrame

?仲裁场

在扩展帧格式里,包括29位标识符(ID).SRR位、IDE僚和RTR位。

标识符(ID);ID决定了报文的优先权。标准格式中的标识符长度为Il位,相当于扩展豁的基本ID。这些位按ID一28到ID—18的顺序发送。7个最高位(ID一28~ID一22)必须不能全是隐性。

图2-8错误帧结构

Fig.2-8StructureofErrFrame

接收站发现总线上的报文出错时,将自动发出“活动错误标志”,为6个连续的显性位。由于各个接收站发现错误的时间可能不同,总线上的实际错误标志可能由6--一12位显性位组成。在错误标志后为8个隐性位组成的错误界定符。每个站发送错误标志后,开始发送隐性电平,并监视总线,检测到出错误条件时,将发送“认可错误标志”,它为6个连续的隐性位。

④超载帧

?超载帧包括两个位场:超载标志和超载界定符,其结构如图2—9。

图2—9超载帧结构

Fig.2-9Structureof0verloadFrame

超载帧只能在一个帧的结束开始。在一个接收站要求延迟下一个数据帧或远程帧,或在帧空间的间歇场的第l、2位检测到显性位及在错误、超载界定符的最后一位采样到显性位,开始发送超载帧。超载标志由6个显性位组成,而总线上的实际超载标志为6一一7位。超载界定符为8个隐性位。

⑤帧间空间

数据帧和远程帧与前面的任何帧用帧间空间的位场分隔开。它包括间歇场和总线空闲场。间歇场由3个隐性位组成。总线空闲场可为任何长度,此时总线处于空闲状态,允许发送站发送报文.

3.错误检测

CAN为了提高抗干扰能力和数据传输的可靠性,采用了多种错误检测手段。

?位错误:发送站时刻检测发送的每一位数据,如检测到总线数值与发送的不同,则在该位时刻检出一个位错误。

?填充错误:在应用位填充方法进行编码的报文字段中,出现第6个连续相同的

过软件来产生SPI接口协议的操作时序,X5045与单片机的连接见下图。

基于CAN总线的渡位数据采集、传输及监控系统设计第二二章系统的硬件设计

本课题中CAN总线控制器芯片采用了PHLIPS公司1997年推出的独立式CAN协议控制器SJAl000。它集成了CAN的物理层和数据链路层功能,可完成对通信数据的成帧处理,包括填充、数据块编码、循环冗余检验、优先级判别等项工作。

SJAl000有两种工作模式:BasicCAN模式和PeliCAN模式。其中PeliCAN模式较以前增添了很多新的特性,全面支持CAN2.OB协议规范。它具有以下主要特性:?在设计上其软件和硬件与PCA82C200CAN控制器兼容(BasicCAN模式):

?扩展接收缓存;

?支持CAN2.OB协议规范;

?支持ll位与29位标识符:

?位速率可达IIgops;

?扩展PeliCAN;

?时钟频率最高为24删z:

?接口兼容多种微处理器:

?CAN输出驱动配置可编程;

?工作环境温度宽(.40-+125℃)。

图2—13sJAl0009l脚

Fig.2—13PinconfigurationofSJAl000

以下方面与BasicCAN相比体现的特点如下:

?接收和发送采用扩展帧格式;

?接收FIFO为64字节;

?双重验收滤波器:

?错误计数:

?错误警告限制可编程

?岩误代码捕捉寄存器:

?针对每种CAN总线错误的错误中断;

?自我测试。

2.SJAl000引脚及内部结构

图2—13为SJAl000的引脚分配,图2—14为SJAl000的内部结构框图,表2-2为引脚说明。

图2一14SJAi000内部结构框图

Fig.2_一14BlockDiagramOfSJAl000

SJAl000内部包括接口管理逻辑、发送缓存器、接收缓存器、位流处理器、位定时逻辑、收发逻辑、错误管理逻辑、控制器接口逻辑等。SJAl000适合两种外部微控制器模式,本系统中采用Intel模式,因此对其的读写时序与单片机89C52对外部存储器间的读写时序相同。在电路连接上只需将SJAl000的ADT-1DO与89C52的P0口连接,ALE、/WR、/RD与89C52的对应引脚连接,而/CS作为高位地址连到P2.6口线上,具体电路连接见电路原理图3—2。

总线实验

山西大学自动化与软件学院 课程实验报告 实验课程计算机系统基础 实验名称总线与寄存器实验 实验地点线上 实验时间 6.30 学生班级软件工程1808班 学生学号 201802810825 学生姓名 指导教师

一:实验要求 理解并掌握总线与寄存器 二:实验目的 1、熟悉实验软件环境; 2、掌握总线以及数据通路的概念及传输特性。 3、理解锁存器、通用寄存器及移位寄存器的组成和功能。 二、实验内容 1、根据已搭建好的8位数据通路,熟悉总线连接的方法,理解74LS244芯片的作用,理解各相关信号在数据传输过程中起的作用; 2、通过拨码开关置数,将数据传送到各寄存器,将寄存器中数据显示出来,熟悉常用的寄存器。 三、实验器件 1、D触发器(74LS74、74LS175)、三态缓冲器(74LS244)。 2、寄存器(74LS27 3、74LS374 )和移位寄存器(74LS194) 四、实验原理 (见实验指导书) 五、实验步骤 注意:实验过程中应观察总线上及芯片引脚上显示的数据的变化情况,理解数据传送的过程和寄存器存数,从寄存器读数的原理。 实验(1)拨码开关输入数据至总线 ●====1;手动操作总线DIN上的拨码开关,在总线DIN上置位数据0x55,缓冲器244阻断。比较总线DIN与BUS状态的异同。 ●=0,比较总线DIN与BUS状态的异同,记录BUS总线的数据: BUS_7BUS_6BUS_5BUS_4BUS_3BUS_2BUS_1BUS_0BUS总 线 01010101AA 实验(2)D触发器数据锁存实验 ●=0,===1;通过拨码开关改变74LS74的D端(即BUS总线的BUS_0)的状态,按照下表置位74LS74的端、端,观察并记录CLK端上升沿、下降沿跳变时刻Q端、端的状态,填观测结果于表中。 CLK D Qn Qn+1n+1 01××010 110 10××001 101

高速数据传输

高速数字电路的研究与介绍 1.引言 随着计算机外部设备、计算机高速总线的发展,在这些设备上进行的数据交换以及复杂的运算导致数据传输量急剧增大,为了满足种种数据在处理器、存储介质和外围设备之间的高速交换,近年来出现了多种高速接口电路的设计和应用。 高速数据传输接口电路在计算机 memory总线,多处理器的互连,外部设备接口,高速系统背板……有着广泛的应用。普通PC机上的DDR2存储器的数据传输已可以达到667MHz。计算机外部设备、计算机网络、通信传输等设备的各种物理层设计工作大量的涉及到了:155M bps、 622M bps和 2.5G bps,100M bps,1000M bps,10G bps的高速接口电路。 现今高速数据传输接口的实现主要参考了三种标准的电路接口:PECL (Positive-referenced Emitter-Coupled Logic); LVDS (Low-Voltage Differential Signals), and CML (Current Mode Logic)。这些高速接口电路标准针对不同的应用领域提供相应的传输速率。解决高速接口电路的互连,保持低功耗及提高信号传输质量,是开发这些接口电路时需要注意的。要求为高速接口电路设计相应的外部阻抗匹配电路、耦合电路。155M bps以下速率的电路阻抗匹配要求不是很严。电路耦合可采用直流耦合,可以避免电容滤除信号的高频成分。500M bps以上的高速电路线路阻抗匹配要求严格。高速电路一般采用交流耦合,可以隔离两边的直流。 在设计高速数字传输系统时,首先需要了解每一种接口标准的输入输出电路结构,由此可以知道如何进行直流偏置和终端匹配。本文针对这三种标准的接口电路做分析和介绍: 2.PECL 接口 PECL由ECL标准发展而来,在PECL电路中省去了负电源,较ECL电路更便于使用。PECL信号的摆幅相对ECL要小,这使得该逻辑更适合于高速数据的串行或并行连接。 PECL接口输出结构 PECL电路的输出结构如图1所示,包含一个差分对管和一对射随器。输出射随 器工作在正电源范围内,其电流始终存在,这 样有利于提高开关速度。标准的输出负载是接 50欧姆电阻至VCC-2V的电平上,如图1所示, 在这种负载条件下,OUT+与OUT-的静态电平 典型值为VCC-1.3V,OUT+与OUT-输出电流为 14mA。PECL结构的输出阻抗很低,典型值为

CAN总线呕心沥血教程

哥很郁闷,为了CAN研究了不少,看了不少资料,现在我给大家总结一下先看看工作原理 当CAN总线上的一个节点(站)发送数据时,它以报文的形式广播给网络中所有节点,对每个节点来说,无论数据是否是发给自己的,都对其接收。每组报文开头的11位字符为标识符,定义了报文的优先级,这种报文格式成为面向内容的编制方案。同一系统中标识符是唯一的,不可能有两个站发送具有相同标识符的报文,当几个站同时竞争总线读取时,这种配置十分重要。 大体的工作原理我们搞清了,但是根本的协议我们还要花一番功夫。下面介绍一个重要的名词,“显性“和”隐性“ 在我看到的很多文章里,有很多显性和隐性的地方,为此我头痛不已,最终我把它们彻底弄明白了。 首先CAN数据总线有两条导线,一条是黄色的,一条是绿色的。分别是CAN_High线和CAN_Low线 当静止状态时,这两条导线上的电平一样。这个电平称为静电平。大约为2.5伏。这个静电平状态就是隐形状态,也称隐性电平。也就是没有任何干扰的时候的状态称为隐性状态.当有信号修改时,CAN_High线上的电压值变高了,一般来说会升高至少1V,而CAN_Low线上的电压值会降低一个同样值,也是1v,那么这时候。CAN_High就是2.5v+1v=3.5v,它就处于激活状态了。而CAN_Low降为2.5v-1v=1.5v。 可以看看这个图 由此我们得到 在隐性状态下,CAN_High线与CAN_Low没有电压差,这样我们看到没有任何变化也就检测不到信号。但是在显性状态时,改值最低为2V,我们就可以利用这种变化才传输数据了。所以出现了那些帧,那些帧中的场,那些场中的位,云云~~~~~~~~~~~ 在总线上通常逻辑1表示隐性。而0表示显性。这些1啊,0啊,就可以利用起来为我们传数据了。 利用这种电压差,我们可以接收信号。 一般来说,控制单元通过收发器连接到CAN驱动总线上,这个收发器(顾名思义,可发送,可接收)内有一个接收器,该接收器是安装在接收一侧的差动信号放大器。然后,这个放大器很自然地就放大了CAN_High和CAN_Low线的电平差,然后传到接收区。如下图 由上图可知,当有电压差,差动信号放大器放大传输,将相应的数据位任可为0。下面我们进入重点难点。报文 所谓报文,就是CAN总线上要传输的数据报,为了安全,我们要给我们传输的数据报编码定一下协议,这样才能不容易出错,所以出现了很多的帧,以及仲裁啊,CRC效验。这些都是难点。 识别符的概念。 识别符顾名思义,就是为了区分不同报文的可以鉴别的好多字符位。有标准的,和扩展的。标准的是11位,扩展的是29位。他有一个功能就是可以提供优先级,也就是决定哪个报文优先被传输,报文标识符的值越小,报文具有越高的优先权。CAN的报文格式有两种,不同之处其实就是识别符长度不同,具有11位识别符的帧称为标准帧,而还有29位识别符的帧为扩展帧,CAN报文有以下4个不同的帧类型。分别是

计算机组成原理 存储器和总线实验

实验六存储器和总线实验 一、实验目的 熟悉存储器和总线组成的硬件电路 二、实验要求 按照实验步骤完成实验项目,利用存储器和总线传输数据。 三、实验内容 (1)实验原理 实验所用半导体静态存储器电路原理如图所示,该静态存储器由一片6116(2k*8)构成,其数据线(D0-D7)已和数据总线(BUS-DIAP UNIT)相连接,地址线由地址锁存器(74LS273)给出,该锁存器的输入已连至数据总线。地址A0-A7与地址总线相连,显示地址内容。数据开关经三态门(74LS245)已连至数据总线,分时给出地址和数据。因为地址寄存器为8位,接入6116的地址A7-A0,而高三位A8-A10本实验装置已接地,其容量为256字节。6116由三根控制线:/CS(片选线)、OE(读线)、WE(写线)。当片选有效(/CS=0)时,同时OE=0时,(WE=0)时进行读操作。本实验中将OE引入接地,在此情况下,当/CS、WE=1时进行写操作。/CS=0、WE=0时进行写操作,其写时间与T3脉冲宽度一致。实验时T3脉冲由“单步”命令键产生,其它电平控制信号由二进制开关模拟,其中/CE(存储器片选信号为低电平有效,WE为写/读(W/R)控制信号,当WE=0时进行读操作、当WE=1时为写操作。 (2)实验步骤 1、控制信号连接:位于实验装置右侧边缘的RAM片选端(/CE)、写/读线(WE)、地址锁存信号(LDAR)与位于实验装置左上方的控制信号(/CE、WE、LDAR)之间对应相连。位于实验装置左上方CTR-OUT的控制信号(/SW-B)与左下方INPUT-UNIT(/SW-B)对应相连。 具体信号连接:/CE,WE,LDAR,/SW-B 2、完成上述连接,仔细检查无误后方可进入本实验。 在闪动是我“P”状态下按动增值命令键,时LED显示器自左向右第一位显示提示符“H”,表示装置已进入手动单元试验状态。(若当前处“H”状态,本操作可略) 3、内部总线数据写入存储器 给存储器的00、01、02、03、04地址单元中分别写入数据11、12、13、14、15,具体操作步骤如下:(以向00地址单元写入11数据为例,然后重复操作将数据分别写入各地址单元)。4,、读存储器的数据到数据总线 依次独处第00、01、02、03、04单元中的内容,观察上述各单元中的内容是否与前面写入的一致。具体步骤如下:(以从00单元独处11数据为例,其它则类似)

一种两线双向高速串行音频数据传输总线控制器的实现方法

http ://https://www.doczj.com/doc/e18690648.html, 一种两线双向高速串行音频数据传输总线控制器的实现方法 瞿军武, 薛骏,施彦(无锡中感微电子股份有限公司,江苏无锡,214135) 摘要:本文提出了一种两线双向高速串行音频数据传输总线控制器在蓝牙耳机硬件系统中的应用, 可以实现芯片间高速传输数据,实现同步发送和接收音频数据以及命令字。同时, 通过自定义数据格式,优化了数据格式,并降低了数据发送和接收的复杂度。考虑到PAD 的承受能力,目前传输速率最大可 以达到18Mb/s 。仅使用两线完成了数据的传输, 从而大大减少了芯片IO 的使用。关键字:蓝牙耳机;两线双向;高速;串行总线控制器;IO ;FPGA A Realization Method of Two-wire Bidirectional High Speed Serial Audio Data Transmission Bus Controller QU Jun-wu,XUE Jun ,SHI Yan (Zgmicro co,.ltd,Wuxi 214135,China ) Abstract:This paper proposes a two-wire bidirectional high-speed serial audio data transmission bus controller applied in Bluetooth headset hardware system,which can realize high-speed data transmission between chips,synchronous transmission and reception of audio data and command words.By the self-defined data format,the data format is optimized and the complexity of data sending and receiving is reduced.Considering the bearing capacity of PAD,the maximum transmission rate can reach 18Mb/s at present.Only two lines are used to complete data transmission,which greatly reduces the use of IO on chip. Key words:Bluetooth headset;two-wire bidirectional;high-speed;serial bus controller;IO;FPGA 55

计算机组成原理 实验六 总线数据传输控制实验

实验六总线数据传输控制实验 一、实验目的 1.理解总线的概念,了解总线的作用和特性。 2.掌握用总线传输数据的控制原理和方法。 二、实验原理 1.74LS374芯片的逻辑功能 2.了解通用寄存器部件的逻辑功能 三、实验过程 1.连线 1)连接实验一(输入、输出实验)的全部连线。 2)按逻辑原理图连接寄存器单元(REG UNIT)的B-R0,B-R1正脉冲信号。 3)连接寄存器单元(REG UNIT)的R0-B、R1-B到KA、KB,用KA、KB控制R0-B、 R1-B这两个低电平有效信号 4)连接运算器单元(ALU UNIT)S1、S0、M、299-B。 5)按逻辑原理图连接时钟单元(CLOCK UNIT)与微程序控制单元(MAIN CONTROL UNIT)的T4。

2.数据送R0操作过程 1)首先把手动控制开关单元(MANUAL UNIT)的控制开关全部拨到无效状态。 2)在输入数据开关拨一个实验数据(如“00001001”,即16进制的09H),把I/O-R 把实验数据送总线。 3)把B-R0信号拨动一下,即实现“1-0-1”,产生一个正脉冲,实验数据由总 线送0号通用寄存器(R0)保存。 4)把输入数据开关上的实验数据拨回到全0,拨上I/O-R控制开关,切断输入 数据与总线的联系,这时总线显示灯为“11111111”,处于悬空状态。 3.数据从R0读出送移位寄存器操作过程 1)拨下KA控制开关,产生R0-B 信号,把实验数据从R0送总线,总线显示灯 为“00001001”,显示R0寄存器状态 2)把299-B ,S1,S0,M拨成1111,确保时钟单元(CLOCK UNIT)的STEP/RUN 开关处于“STEP”状态,按一下“START”键发T4脉冲,把R0中的数据通过总线送入74LS299移位寄存器中。 3)拨上KA控制开关,使R0-B 信号无效,切断R0输出数据与总线的联系,总 线显示灯为“11111111”,处于悬空状态。 4.数据经移位寄存器移位后送R1的操作过程 1)把299-B ,S!,S0,M拨成0101,按下“START”键发T4脉冲,对74LS299移位寄存器中的数据进行一次带进位的循环右移,这时从总线上可看到移位后的实验数据。 2)把B-R1信号拨动一下,即实现“0—1—0”,产生一个正脉冲,实验数据通过总线送1号通用寄存器(R1)保存。 3)拨上299-B 控制开关,切断74LS299移位寄存器与总线的联系。

DSP及PC机的PCI总线高速数据传输

DSP与PC机的PCI总线高速数据传输 摘要:介绍了TI公司的高性能浮点式数字信号处理芯片TMS320C6713的接口信号及控制寄存器,并在此基础上,指出了该DSP通过PCI总线与PC机进行高速数据传输的实现方法,同时给出了TMS320C6713和PC机通过PCI9052总线接口芯片实现接口的硬件原理图。 关键词:DSP;数据传输;TMS320C6713 PCI9052 TMS320C6713是TI公司在TMS320C6711的基础上推出的C6000系列新一代浮点DSP芯片,它是目前为止C6000系列DSP芯片中性能最高的一种。TMS320C6713可在255MHz的时钟频率下实现1800MIPS/1350MFLOPS的定点和浮点运算,因而可极大地满足通信、雷达、数字电视等高科技领域对信号处理实时性的要求。同时其主机口(HPI)可灵活地和PCI总线控制器相连接。而PC机则可通过PCI总线控制器直接访问TMS320C6713的存储空间和外围设备,从而实现PC机与TMS320C6713之间的高速数据传输。 在TMS320C6713DSP与PC机实现高速数据传输的方案中,可选用PLX公司的PCI9052作为两者之间的接口;同时选用PLX公司的NM93CS46作为加载PCI9052配置信息的串行EEPROM;而用TI公司的SN74CBTD3384作为PCI9052与TMS320C6713HPI之间的电平转换芯片。 1TMS320C6713的HPI简介 1.1TMS320C6713HPI的接口信号 TMS320C6713的HPI是一个16位宽的并行端口。主机(上位机)掌管着该端口的主控权,可通过HPI直接访问TMS320C6713的存储空间和外围设备。表1给出了TMS320C6713HPI接口信号的基本特征。下面对它们的具体工作方式进行说明: HD[15:0]:可以用作数据和地址的共用总线,通过HD[15:0]传送的数据包括控制寄存器的设置值、初始化的访问地址以及要传输的数据。

(完整版)CAN总线解析

一、概述 CAN(Controller Area Network)即控制器局域网,是一种能够实现分布式实时控制的串行通信网络。 想到CAN就要想到德国的Bosch公司,因为CAN就是这个公司开发的(和Intel)CAN 有很多优秀的特点,使得它能够被广泛的应用。比如:传输速度最高到1Mbps,通信距离最远到10KM,无损位仲裁机制,多主结构。 近些年来,CAN控制器价格越来越低,很多MCU也集成了CAN控制器。现在每一辆汽车上都装有CAN总线。 一个典型的CAN应用场景: 二、CAN总线标准 CAN总线标准只规定了物理层和数据链路层,需要用户来自定义应用层。不同的CAN标准仅物理层不同。

CAN收发器负责逻辑电平和物理信号之间的转换,将逻辑信号转换成物理信号(差分电平)或者将物理信号转换成逻辑电平。 CAN标准有两个,即IOS11898和IOS11519,两者差分电平特性不同。(有信号时,CANH 3.5V,CANL 1.5V,即显性;没有信号时,CANH 2.5V,CANL 2.5V,即隐性) IOS11898高速CAN电平中,高低电平的幅度低,对应的传输速度快。 双绞线共模消除干扰,是因为电平同时变化,电压差不变。 2.1物理层 CAN有三种接口器件

多个节点连接,只要有一个为低电平,总线就为低电平,只有所有的节点都输出高电平时,才为高电平。所谓“线与”。 CAN总线有5个连续性相同的位后,就会插入一个相反位,产生跳变沿,用于同步。从而消除累计误差。 和485、232一样,CAN的传输速度与距离成反比。 CAN总线终端电阻的接法:

特点:低速CAN在CANH和CANL上串入2.2kΩ的电阻;高速CAN在CANH和CANL 之间并入120Ω电阻。为什么是120Ω,因为电缆的特性阻抗为120Ω,为了模拟无限远的传输线。(因为大多数双绞线电缆特性阻抗大约在100~120Ω。) 120欧姆只是为了保证阻抗完整性,消除回波反射,提升通信可靠性的,因此,其只需要在总线最远的两端接上120欧姆电阻即可,而中间节点并不需要接(接了反而有可能会引起问题)。因此各位在使用CAN Omega做CAN总线侦听的时候,大多数情况下是不需要这个120欧姆电阻的,当然,即使当前网络中并没有终端匹配电阻,只要传输线长度不长(比如SysCan360比赛环境中,传输线只有1-2米)CAN节点数量不多的情况下,不要这个120欧姆电阻也完全可以工作,甚至,你接任意电阻都是不会有影响的。因为此时传输线长度和波长还相差甚远,节点不多的情况下,反射波的叠加信号强度也不会很强,因此传输线效应完全可以忽略。 而哪些情况需要呢,主要就是,当使用2个CAN Omega对发或者当前网络中仅有2个CAN设备的时候,此时两个端点最好都加上终端匹配电阻,当然,前面也说过了,传输线长度不长的时候,也可以不需要2端120欧姆电阻,但为了信号完整性考虑,加上这两个电阻才是严谨的。 2个120欧姆电阻的意义在于,使用USB CAN调试某些不带终端电阻的中间节点设备时,有时候CAN总线上没有2个120欧姆电阻通信可能会异常,此时可以接入2个120欧姆电阻作为2个终端电阻来作阻抗匹配,这时候其他端点不应接入任何终端电阻!并且,这2个120欧姆电阻不可用1个60欧姆电阻代替!

实验四—数据传送实验报告

实验报告 专业:计算机科学与技术 班级:计算机科学与技术(1)班学号:201024131147 姓名:赵倩倩 课程名称:计算机组成原理 学年:2010—2011 学期1 课程类别:专业必修 试验时间:2011年11月7日

实验四:总线传输实验 一、实验目的 (1)理解总线的概念及其特性 (2)掌握总线传输控制特性 二、实验原理及基本技术路线图(方框原理图) 总线传输框如图5.4-1所示,他将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要三态输出控制,按照传输要求恰当有序的控制它们,就可实现总线信息传输。 基本实验要求如下: 根据挂起在总线上的几个基本条件,设计一个简单的流程; 1)输入设备将一个数打入R0寄存器。 2)输入设备将另一个数打入地址寄存器。 3)将R0寄存器中的数写入带当前的地址的寄存器中。 4)将当前地址的寄存器中的数用LE数码管显示。 三、所用仪器、材料(设备名称、型号、规格等) TDN-CM+或TDN-CM++教学实验系统一台 四、实验方法、步骤 1)按照图5.4-2试验接线图进行连线。

(2)实验的具体操作步骤图如图5.4-3所示。 首先应关闭所有三态门(SW-B=1,R0-B=1,LED-B=1),并将关联的信号置为LDAR=0,LDR0=0,W/R(LED)=1,W/R(LED)=1.然后参照如下操作流程,先将数据开关打入到R0中;然后继续给开关置数,拨动LDR0控制信号做0→1→0动作,产生一个上升沿将数据打入到R0中;然后继续给数据开关置数,拨动LDAR控制信号做0→1→0动作产生一个上升沿将数据打入到AR中;关闭数据开关三态门,打开R0寄存器输出控制,使寄存器输出,使寄存器处于写状态(W/R=0,CS=0),将R0中的数写到存储器中;关闭存储器片选,关闭R0寄存器输出,使存储器处于读状态(W/R=1,CS=0),打开LED片选,拨动LED的W/R控制信号1→0→1动作,产生一个上升沿将数据打入到LED中。 五、实验过程原始记录(数据、图表、计算等) 打开输出三态门,在输入单元由开关给出要保存的数据00110111(37H),拨动控制信号LDR0,可将数据打入到寄存器R0中,继续给数据开关置数,这时

系统总线 实验报告

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成与结构 项目名称具有基本输入输出功能的总线接口实验班级 学号 姓名 同组人员无 实验日期 2015-10-24

一、实验目的 1.理解总线的概念及其特性; 2.掌握控制总线的功能和应用。 二、实验逻辑原理图与分析 2.1 实验逻辑原理图及分析 由于存储器和输入、输出设备最终是要挂接到外部总线上,所以需要外部总线提供数据信号、地址信号以及控制信号。在该实验平台中,外部总线分为数据总线、地址总线和控制总线,分别为外设提供上述信号。外部总线和CPU内总线之间通过三态门连接,同时实现了内外总线的分离和对数据流向的控制。地址总线可以为外部设备提供地址信号和片选信号。由地址总线的高位进行译码,系统的I/O地址空间被分为四个区,如图所示: 为了实现对于MEM和外设的读写操作,还需要一个读写控制逻辑,使得CPU 能控制MEM和I/O设备的读写,实验中的读写控制逻辑如下图所示:

三、 数据通路图及分析 (画出数据通路图并作出分析) 在理解读写控制逻辑的基础上设计一个总线传输的实验。实验所用总线传输实验框图如下图所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现总线信息传输。 分析:① 输入设备将一个数打入RO 寄存器; ② 输入设备将另一个数打入地址寄存器; ③ 将RO 寄存器中的数写入到当前地址的寄存器中; ④ 将当前地址的寄存器中的数用LED 数码管显示。 四、实验数据和结果分析 4.1 实验结果数据如图所示 ⑴输入设备将11H 打入RO 寄存器 ⑵将RO 中的数据11H 打入寄存器01H 单元

CAN总线白皮书

CAN 总线技术白皮书

目录 1. 概述 (1) 1.1 技术优点 (1) 2. CAN 总线介绍 (2) 2.1 CAN 总线协议 (2) 2.2 CAN 总线物理特性 (3) 2.3 CAN 总线帧格式及帧类型 (4) 2.3.1 CAN 总线帧格式 (4) 2.3.2 CAN 总线帧类型 (4) 2.4 相关术语 (7) 2.4.1 CAN 总线仲裁机制 (7) 2.4.2 DLC (8) 2.4.3 帧间空间 (8) 2.4.4 位定时 (9) 2.4.5 同步 (10) 2.4.6 CAN 总线错误 (11) 3.参考文献 (14)

1. 概述 CAN 是Control er Area Network(控制器局域网络)的简称,最初是由德国Bosch 公司设 计的,应用于汽车的监测和控制。CAN 总线作为一种技术先进、可靠性高、功能完善、成本合 理的远程网络通讯控制方式,逐步被广泛应用到各种控制领域。 1991 年9 月,Philips 制定并发布CAN 技术规范:CAN 2.0A 和CAN2.0B。1993 年11 月,ISO 组织正式颁布CAN 国际标准ISO11898。CAN 总线是唯一成为国际标准的现场总线。 1.1技术优点 多主结构依据优先权进行总线访问; 非破坏性的基于优先权的逐位仲裁,对于优先级最高的节点来说“发送时间”就是无损的; 借助验收滤波器的多地址帧传递; 远程数据请求; 全系统数据相容性; 错误检测和出错信令; 很远的数据传输距离(长达10KM); 高速的数据传输速率(高达1Mbps); 高度实时性:每帧报文允许传输最高8 个字节的数据; 发送期间丢失仲裁或出错而遭到破坏的帧可自动重发; 暂时错误和永久性故障节点的判别以及故障节点的自动脱离; 脱离总线的节点不影响总线的正常工作

总线数据传输实验

实验报告 一、实验设计方案 实验框图 实验原理 1、SW_BUS低电平有效,此时将K[7..0]的数据送到总线,通过L[7..0]双向数据端口 输出显示总线的数据,使用的芯片是74244八位单向三态缓冲器; 2、R3_BUS、R2_BUS、R1_BUS低电平有效,其功能是将数据要传入的寄存器打开, 若相应的lddr为1(高电平有效),将数据传入相应的寄存器; 3、总线数据传输时,控制信号中只能有一个有效,寄存器的端口送至数据总线,所以 每个BUS接口对应每个R寄存器的显示; 4、通过读写的双重作用,实现R1和R2的数据交换。 表达式 Reg3←Reg1;Reg1←Reg2;Reg2←Reg3 方法一:vhdl代码 library ieee; use ieee.std_logic_1164.all; entity exp_bus is port(clk:in std_logic; sw_bus,r1_bus,r2_bus,r3_bus:in std_logic; k:in std_logic_vector(7 downto 0); lddr:in std_logic_vector(3 downto 1); l:inout std_logic_vector(7 downto 0)); end exp_bus; architecture rtl of exp_bus is

signal r1,r2,r3,bus_Reg:std_logic_vector(7 downto 0); begin ldreg:process(clk,lddr,bus_reg) begin if clk'event and clk='1' then if lddr(1)='1'then r1<=bus_reg; elsif lddr(2)='1'then r2<=bus_reg; elsif lddr(3)='1'then r3<=bus_reg; end if; end if; end process; bus_reg<=k when (sw_bus='0'and r1_bus='1'and r2_bus='1'and r3_bus='1')else r1 when (sw_bus='1'and r1_bus='0'and r2_bus='1'and r3_bus='1')else r2 when (sw_bus='1'and r1_bus='1'and r2_bus='0'and r3_bus='1')else r3 when (sw_bus='1'and r1_bus='1'and r2_bus='1'and r3_bus='0')else (others=>'0'); l<=bus_reg when (sw_bus='0' or r1_bus='0' or r2_bus='0' or r3_bus='0')else (others=>'Z'); end rtl; 方法二:bdf实验原理图

总线控制实验报告

实验四:总线控制实验报告 一、实验目的: 1.理解总线的概念及其特性; 2.掌握总线传输控制特性; 二、实验设备 TDN-CM+计算机组成原理教学实验系统一台,排线若干。 三、实验内容 1. 总线的基本概念 总线是多个系统内部之间进行数据传输的公共通路,是构成计算机系统的骨架。借助总线连接,计算机在系统各个部件之间实现传送地址、数据和控制信息的操作。因此,所谓总线就是能为多个部件服务的一组公共信息线。 1.实验原理 实验所用总线传输实验框图如图7-1所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现信息传输。 实验要求 根据挂在总线上的几个基本部件,设计一个简单的流程: ⑴输入设备将一个数打入R0寄存器; ⑵输入设备将另一个数打入地址寄存器; ⑶将R0寄存器中的数写入到当前的存储器中; ⑷将当前地址存储器中的数用LED数码管显示。

1.实验步骤 ⑴按照图7-2实验接线图进行接线。 ⑵具体操作步骤如下:

初始状态应设为:关闭所有的三态门(SW-B=1,CE=1,R0-B=1),其他控制信号为:LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1 第一组数据:(R0)=11H,(AR)=21H LED显示的数据为: 第二组数据:(R0)=A5H,(AR)=22H LED显示的数据为: 第三组数据:(R0)=FCH,(AR)=23H LED显示的数据为: 注意事项: 1、所有导线使用前须测通断; 2、不允许带电接线; 3、“0”——亮“1”——灭; 4、注意连接线的颜色、数据的高低位。 四、实验总结 实验过程出现了很多问题,只有在实验前做好充分准备,才能减少在实验过程中遇到的难题。实验主要是使我们理解总线的概念及其特性,并掌握总线传输控制特性,这对我们深入了解计算机组成原理这门课程更加有利。

车辆CAN总线概述(完整版)解析

一.CAN总线简介 1. CAN总线的发展历史 20世纪80年代初期,欧洲汽车工业的蓬勃发展,车辆电子信息化程度的也不断提高。当时,由于消费者对于汽车功能的要求越来越多,而这些功能的实现大多是基于电子操作的,这就使得电子装置之间的通讯越来越复杂,同时意味着需要更多的连接信号线,但是传统的线束式汽车电子系统已经不能满足车辆电子信息功能发展的需求。为了解决这一制约现代汽车电子信息化发展的瓶颈,德国Bosch公司设计了一个单一的网络总线,所有的外围器件可以被挂接在该总线上,经过试验,这一总线能够有效解决现代汽车中庞大的电子控制装置之间的通讯,并且能够减少不断增加的信号线。所以在1986年Bosch公司正式公布了这一总线,且命名为CAN总线。 CAN控制器局部网(CAN—Controller Area Network)属于现场总线的范畴,它是一种有效支持分布式控制或实时控制的串行通讯网络,它具有很高的网络安全性、通信可靠性和实时性,简单实用,网络成本低,特别适用于汽车计算机控制系统和环境恶劣、电磁辐射强和振动大的工业环境,因此CAN总线在诸多现场总线中独占鳌头,成为汽车总线的代名词,CAN总线开始进入快速发展时期:1987年Intel公司生产出了首枚CAN控制器(82526)。不久,Philips公司也推出了CAN 控制器82C200; 1991年,Bosch颁布CAN 2.0技术规范,CAN2.0包括A和B两个部分 为促进CAN以及CAN协议的发展,1992在欧洲成立了国际用户和厂商协会(CAN in Automation,简称CiA),在德国Erlangen注册,CiA总部位于Erlangen。CiA提供服务包括:发布CAN的各类技术规范,免费下载CAN文献资料,提供CANopen规范DeviceNet规范;发布CAN产品数据库,CANopen产品指南;提供CANopen验证工具执行CANopen认证测试;开发CAN规范并发布为CiA 标准。 1993 年CAN 成为国际标准ISO11898(高速应用)和ISO11519(低速应用); 1993年,ISO颁布CAN国际标准ISO-11898; 1994年,SAE颁布基于CA N的J1939标准; 2003年,Maybach发布带76个ECU的新车型(CAN,LIN,MOST);

CAN总线资料汇总

CAN总线资料汇总 工业设备通信通常涉及到很多硬件和软件产品以及用于连通标准计算机平台(个人计算机或工作站)和工业自动化应用设备的协议,而且所使用设备和协议的种类繁多。因此,大部分自动化应用设备都希望执行简单的串行命令,并希望这些命令同个人计算机或者附加的串行端口板上的标准串行端口兼容。 RS-232是目前PC机与通信工业中应用最广泛的一种串行接口。RS-232被定义为一种在低速率串行通讯中增加通讯距离的单端标准。由于RS-232的发送端与接收端之间有公共信号地,所以它不能使用双端信号,否则,共模噪声会耦合到信号系统中。RS-232标准规定,其最大距离仅为15m,信号传输速率最高为20kbit/s。 CAN,全称为“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一,一个由CAN总线构成的单一网络受到网络硬件电气特性的限制。CAN作为一种多主方式的串行通讯总线,其基本设计规范要求高位速率和较高的抗电磁干扰性能,而且要能够检测出通讯总线上产生的任何错误。当信号传输距离达10km时,CAN仍可提供高达50kbit/s的数据传输速率。表1为CAN总线上任意两个节点之间最大传输距离与其位速率之间的对应关系。 表1 CAN总线系统任意两节鼎足之势之间的最大距离 由此可见,无论从实时性、适应性、灵活性,还是可靠性上来看,CAN总线都是一种比RS-232更为优秀的串行总线。当两台串口设备的相距较远,不能直接用RS-232把它们连接起来时,就可以把 RS-232转换为CAN,通过CAN总线来实现串口设备的网络互连。 但是,RS-232和CAN在电平和帧格式上都是很大的不同。具体表现如下: RS-232标准电平采用负逻辑,规定+3V~+15V之间的任意电平为逻辑“0”电平,-3V~-15V之间的任意电平为逻辑“1”电平。而CAN信号则使用差分电压传送,两条信号线称为“CAN_H”和“CAM_L”,静态时均为2.5V左右,此时的状态表示为逻辑“1”,也可以叫做“隐性”;用CAN_H比CAN_L高表示逻辑“0”,称为“显性”。显性时,通常电压值为:CAN_H=3.5V,CAN_L=1.5V; RS-232串口的帧格式为:一位起始位,八位数据位,一位可编程的第九位(此位为发送和接收的地址/数据位),一位停止位。而CAN的数据帧格式为:帧信息+ID+数据(可分为标准帧和扩展帧两种格式)。 因此,设计时就需要有一个微控制器来实现电平和帧格式等的转换。其转换方式如图1所示。 2 RS-232到CAN转换的硬件设计 在设计RS-232到CAN的转换装置时,用单片机AT89C52作为微处理器;用SJA1000作为CAN 微控制器,SJA1000中集成了CAN协议的物理层和数据链路层功能,可被动局面对通信数据的帧处理;AT82C250作为CAN控制器和物理总线之间的接口,用于提供总线的差动发送能力和CAN控制器的差动接收能力,通过AT82C250的引脚3可选择三种不同的工作方式(高速、斜率控制和待机)。其中引脚3接地时为高速方式;高速光隔用6N137实现,其作用是防止串入信号干扰;MAX232用来完成232电平到微控制器接口芯片TTL电平的转换。具体的硬件接口电路参见SJA1000的有关资源,这里不再多做说明。但有以下几点需要注意。

总线控制实验报告 (2)

实验四:总线控制实验报告 一、实验目的: 1、理解总线的概念及其特性; 2、掌握总线传输控制特性; 二、实验设备 TDN-CM+计算机组成原理教学实验系统一台,排线若干。 三、实验内容 1、总线的基本概念 总线就是多个系统内部之间进行数据传输的公共通路,就是构成计算机系统的骨架。借助总线连接,计算机在系统各个部件之间实现传送地址、数据与控制信息的操作。因此,所谓总线就就是能为多个部件服务的一组公共信息线。 1. 实验原理 实验所用总线传输实验框图如图7-1所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现信息传输。 实验要求 根据挂在总线上的几个基本部件,设计一个简单的流程: ⑴输入设备将一个数打入R0寄存器; ⑵输入设备将另一个数打入地址寄存器; ⑶将R0寄存器中的数写入到当前的存储器中; ⑷将当前地址存储器中的数用LED数码管显示。

1. 实验步骤 ⑴按照图7-2实验接线图进行接线。 ⑵具体操作步骤如下:

初始状态应设为:关闭所有的三态门(SW-B=1,CE=1,R0-B=1),其她控制信号为: LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1 第一组数据:(R0)=11H,(AR)=21H LED显示的数据为: 第二组数据:(R0)=A5H,(AR)=22H LED显示的数据为: 第三组数据:(R0)=FCH,(AR)=23H LED显示的数据为: 注意事项: 1、所有导线使用前须测通断; 2、不允许带电接线; 3、“0”——亮“1”——灭; 4、注意连接线的颜色、数据的高低位。 四、实验总结 实验过程出现了很多问题,只有在实验前做好充分准备,才能减少在实验过程中遇到的难题。实验主要就是使我们理解总线的概念及其特性,并掌握总线传输控制特性,这对我们深入了解计算机组成原理这门课程更加有利。

can总线接收实验报告

DSP实验报告 实验名称:CAN总线数据采集和远程传输实验 实验日期:2013-6-17——2013-7-05 姓名:***** 学号:******* 指导教师:***** 哈尔滨工业大学(威海)

目录................................................................................................................ - 0 -DSP实验报告 .................................................................................. - 0 -1.理论准备.......................................................................................... - 2 -1.1 DSP应用的概述 ...................................................................... - 2 -1.2 CAN的简介............................................................................. - 3 -1.3 F2812简介 ............................................................................... - 3 -2.实验原理.......................................................................................... - 4 -2.1 软件流程图 .............................................................................. - 4 -2.2 can总线收发器通信的硬件原理............................................ - 5 -3.设计阶段.......................................................................................... - 5 -3.1接收过程: ................................................................................. - 5 -3.2 配置就收邮箱 .......................................................................... - 7 -3.3 接收消息 .................................................................................. - 7 -四.实验总结........................................................................................ - 7 -五.参考文献........................................................................................ - 8 -附录:.................................................................................................... - 8 -

相关主题
文本预览
相关文档 最新文档