当前位置:文档之家› 基于DSP和FPGA的运动控制器高速串行通信设计_范德和

基于DSP和FPGA的运动控制器高速串行通信设计_范德和

基于DSP和FPGA的运动控制器高速串行通信设计_范德和
基于DSP和FPGA的运动控制器高速串行通信设计_范德和

第9期2011年9月

组合机床与自动化加工技术

Modular Machine Tool &Automatic Manufacturing Technique

No.9Sep.2011

文章编号:1001-2265(2011)09-0058-05

收稿日期:2011-01-24

*基金项目:广东省产学研结合项目(2009B090300269)

作者简介:范德和(1986—),男,江西赣州人,华南理工大学硕士研究生,研究方向为数控系统、嵌入式系统,

(E -mai )fan.dehe@mail.scut.edu.cn ;皮佑国(1953—),男,重庆开县人,华南理工大学教授,博士生导师,研究方向为工业自动化和数控系统。

基于DSP 和FPGA 的运动控制器高速串行通信设计

*

范德和,皮佑国

(华南理工大学自动化科学与工程学院,广州510640)

摘要:文章简要介绍了以ARM 为主控制器,

DSP +FPGA 为从控制器(运动控制器)的主从式高性能数控系统,在综合比较主从控制器各种通信方案优缺点的基础上,提出了一种基于RS-485的高速串行通信方案。利用现场可编程门阵列(FPGA )芯片,完成多通道异步收发器(UART )的扩展及其收发

双缓冲先进先出(FIFO )存储器功能设计;利用DSP 完成串行通信软件设计。由DSP 控制UART 在3.125Mbps 波特率下稳定工作,实现了主从控制器之间的高速串行通信。实验结果表明所设计的方案能够满足数控机床主从控制器之间的通信要求。关键词:高速串行通信;数控系统;DSP ;FPGA 中图分类号:TG65;TP312

文献标识码:A

Implementation of High-Speed Serial Communication for

Motion Controller Based on DSP and FPGA

FAN De-he ,PI You-guo

(School of Automation Science and Engineering ,South China University of Technology ,Guangzhou 510640,China )

Abstract :In this paper,a master -slave CNC system that consists of master controller based on ARM ,slave controller(motion controller)based on DSP and FPGA was briefly introduced.Based on this,several communication solutions between the master controller and slave controller were discussed and compared in detail.Then,a high -speed serial communication based on RS -485was proposed.In this solution,a field -programmable gate array (FPGA)chip was used to expand the multi -channel universal asynchronous re -ceiver transmitter(UART)and design double first in first out (FIFO)memories for the data buffering of

UART.DSP was used to design software for serial communication.The multi -channel UART steadily op -erate at 3.125Mbps with the control of DSP.Test results indicate that the proposed scheme meets the communication requirements between master controller and slave controller in the CNC machine.Key words :CNC;serial communication;DSP;FPGA

0引言

上世纪90年代以来,随着数控系统的开放化与

小型化,

以主从控制器总线通讯模式为核心的计算机数控系统(Computerized Numerical Control ,CNC )

成为数控系统的重要发展方向[1]

。在主从式的数控系统中,主控制器主要实现人机接口和系统管理功能,从控制器主要实现运动控制功能。因此主控制器与运动控制器之间通信的实时性要求并不是很

高,故主控制器与运动控制器之间通信采用抗干扰

能力强、传输速率高的RS-485串行通信[2]。本文采用ARM (Advanced RISC Machines )作为系统的主控

制器,

DSP (Digital Signal Processor )TMSC6713和FP-GA 作为从控制器。由于TMSC6713芯片没有异步通信串口,因此系统需要扩展UART 实现高速异步串行通信。目前,其主要方案有:SPI (Serial Peripheral Interface )转UART ;并行转UART ,由EMIF (External Memory Interface )接口[3]。

第一种方案占用DSP 的芯片资源较多,在DSP

不繁忙且资源足够时采用这种方法;当DSP 通信速率要求高时,

DSP 应该通过扩展异步通信芯片来实现高速串口通信,即第二种方法。通过使用专用通信芯片构成RS-485接口电路,虽然功能比较全面,但硬件复杂,占用体积大;由于芯片功能较多,寄存器初始化设置、收发过程操作复杂,容易出错,且占用CPU 时间较多。

为此,本文利用FPGA 芯片代替传统专业通信芯片,利用FPGA 可编程,灵活定制电路的优点,优化了第二种串行通信方法。设计了基于FPGA 的高速并串/串并转换电路,通过DSP TMSC6713的EMIF 接

口FPGA ,

将UART 的核心功能集成到FPGA 内部,不仅满足数控系统数据传输高速性要求,

而且硬件结构简单,可灵活设置接收和发送先进先出存储器

(FIFO )的大小,满足不同应用场合的需求

[4-5]。除本节外,本文结构安排如下,首先介绍主从控

制器通信机制,

其次讨论了高速串行通信接口的硬件设计,然后详细介绍FPGA 内部电路及DSP 通信程序设计,最后给出测试流程及结果。

1主从控制器串行通信机制

CNC 主从控制结构框图如图1所示,主控制器ARM 下传给从控制器DSP 的主要数据有:各进给轴的位置指令数据,各种逻辑控制信息,相关的状态信息输出(如报警信号等)。从控制器DSP 上传给主控制器ARM 的主要信息有:机床各部分的状态信息,故障信息。通信过程如下:在运动控制器(DSP )端发送数据时,从控制器DSP 将要上传的所有数据经数据校验后按照协议包格式组装成数据包,通过并行数据线写入FPGA 相应的发送数据缓存区,FP-GA 通过检测数据缓存区相应的握手信号,不占用CPU 资源情况下自动将并行数据转换成串行数据并输出,

经RS-485收发器发出相应的差分信号到RS-485总线电缆。在从控制器DSP 接收数据时,由挂接在总线电缆的接收器接收,FPGA 器件自动将接收的串行数据转换成并行数据,并存入内部接收缓冲区,由相应的握手信号通知DSP 读取数据,通过数据校验检查是否传输正确。

在控制器端,同样扩展FPGA 与主控制器连接,串口数据通信机制同DSP ,在此不作叙述。

2

高速串行通信设计

2.1

通信接口电路设计

根据主从控制器的通信机制,设计串行通信接

口原理图如图2所示。图中DSP 通过片外存储器接

口(External Memory Interface ,EMIF )访问FPGA ,

实现存储器地址连续的无缝连接

[6-8]

。采用C6713的EMIF 接口实现与FPGA 的数据通讯。这种方式具有寻址空间大,异步时序配置方便等优点。

图2中,DSP 为主CPU ,其中TD0-TD15为数据总线,

TEAA2-TEA17为地址总线的一部分,TSDRAS 、TSDCAS 和TSDWE 作为控制总线,控制FPGA 的操

作,

ECLKOUT 为DSP 提供给FPGA 的时钟线。由TCE2和DSP 的A2-A17地址线组合译码产生地址的读写。DSP 的GPIO 口连接到FPGA 数据缓冲区的状态标志信号,作为DSP 与上位机通信的同步握手信号。

为了满足RS-485电平标准,考虑到数控系统工业场合的电磁干扰,设计电路时选用电平接口芯片:

Maxim 公司的Max3490将FPGA IO 口3.3V 的电平转换为RS-485标准电平。通过FPGA 和Max3490芯片,可方便地实现高速串行通信硬件电路。2.2

FPGA 内部通信模块电路设计

FPGA 通信模块主要实现如下功能:与核心控制器DSP 及上位机接口;缓存收发的数据;数据的并

串/串并转换;时钟逻辑。因此,

其内部结构由译码模块、分频模块、发送模块、接收模块等四个部分构成。四个模块间连接图如图3所示。

地址译码模块根据外部地址和读写信号产生发

送和接收模块所需的选通信号和清除信号。时钟分频模块顾名思义,将外部的时钟信号分频,产生内部

·

95·2011年9月范德和,等:基于DSP 和FPGA 的运动控制器高速串行通信设计

所需的时序信号。发送和接收模块由FIFO 和移位

寄存器构成,

分别完成数据得并串和串并转换。图3

FPGA 内部模块结构框图

本通信系统采用标准异步通信模式,该通信模

式的数据格式如图4所示

图4标准异步通信数据格式

每帧数据由10位组成,即1位起始位,

8位数据位,

1位停止位。由发送和接收移位寄存器实现该帧格式,

实现方法详见发送和接收模块。(1)时钟分频模块

可由FPGA 的PLL (Phase Locked Loop )产生分

频模块,PLL 输入端接时钟信号CLOCKIN ,可以对输入时钟进行分频、

倍频设定,以使串口两端的设备在同一波特率下完成接收和发送的工作。本文时钟分频设定为16分频。PLL 可通过A3P400的开发环境Actel Libero IDE 下的SmartGen 直接调用。

(2)地址译码模块

地址译码模块引脚包括复位信号线RST ,时钟

信号CLK ,地址线A2-A17、CE2、读信号线RD 、写信号线WR 。FPGA 内部编程设置4-16译码器,通过地

址线片选串口。在地址译码模块片选某路串口时,该路串口的使能信号和读/写信号有效时,

CPU 完成对FPGA 数据的写入和读出。该模块由VHDL 语言

编程设计[9]

(3)发送和接收缓存器FIFO

因DSP 的工作频率远高于串口数据传输速率,通过FPGA 设置若干字节的发送和接收FIFO ,缓存数据。FIFO (First In First Out )可以储存、缓冲两个异步时钟之间的数据传输,是一种先进先出存储器,在读写时钟部分,访问FIFO 时仅需要读写线而不需要地址线。FIFO 通过VHDL 语言编程生成。

(4)发送模块

()和发送

FIFO 组成,其连接图如图5所示。

图5发送模块内部结构图

在数据发送过程中,

DSP 控制写信号WE 将要输出的数据送入发送FIFO ,

将发送FIFO 的标志位empty 作为发送模块的启动信号,empty 为0,说明发

送FIFO 中有数据,此时启动发送模块。

T_shiftreg 由状态机构成[5],数据分为起始位、数据位、校验位和停止位的发送顺序,其状态转移过程

如图6所示。共有五个状态组成:X_IDLE (空闲)、X _START (起始位)、

X _WAIT (移位等待)、X _SHIFT (移位)、X_STOP (停止位)。

图6

发送状态机流程图

状态机工作过程如下:当T_shiftreg 模块被复位信号复位后,状态机将立刻进入X_IDLE 状态。在这个状态下,发送器一直在等待一个数据帧发送命令xmit_cmd_p 。xmit_cmd _p 为‘0’则进入X_START (起始位),在这个状态下,发送一个位时间宽度的逻辑0信号至TXD ,即起始位,紧接着状态机转入X_WAIT 状态;XCNT16是clk 的计数器。通过状态X_WAIT 和X_SHIFT 完成数据并串转换,传送数据宽度为FRAMELEN 的数据帧。当数据帧发送完毕,状态机转入X_STOP 状态,并发送16个clk 周期的逻辑1信号,即1位停止位。状态机送完停止位后回到X_IDLE 状态,并等待另一个数据帧的发送命令。

(5)接收模块

接收模块由接收FIFO 和接收移位寄存器(R_shiftreg )组成,实现原理和发送模块相似,在此不做赘述。2.3

高速串行通信软件设计

从控制器串行通信程序流程如图7所示,通过设置DSP /BIOS 设置主循环周期为0.2ms ,在每个主

·

06·组合机床与自动化加工技术

第9期

循环周期,

DSP 查询来自通信接口FPGA 的接收满(R_FULL )信号,当其为高时,接收来自控制器的64字节数据。DSP 读入这些数据并做相应处理,若错误,则置错误标志,反馈给主控制器要求重发。若正确,

则保存,并置新的标志信号;之后将机床状态信息按数据协议格式组合,

通过并行接口存入FPGA ,启动发送,由FPGA 完成数据的串行输出。主控制器通信模块程序流程类似,

在此不详细介绍

。图7运动控制器串行通信程序流程

3高速串行通信系统仿真及测试

整个高速串行通信系统,在FPGA 内部电路仿真正确的基础上,实现主控制器和从控制器联机调试,验证整个通信系统的正确性及可靠性。3.1

FPGA 仿真

发送和接收模块仿照RS-485硬件连线方式实现发送和接受器的软连接。使用Libero_v8.6开发平台实现该连接,完成数据的并/串,串/并转换。

时序仿真结果如图8,发送模块输入并行数据0x09,经发送移位寄存器并串转换后输出0x09的串行信号输入到接收模块,由接收模块完成数据的串并转换,最后接收FIFO 输出并行数据0x09,由仿真图可知:本程序实现了数据的串行发送和接收,且实

图8数据并-串-并仿真图

现了有效数据位的提取。从而验证了FPGA 内部电

路的正确性。

(1)高速串行通信系统测试联机测试中,由两块DSP 间的数据通信模拟从制器DSP 和主控制器ARM 间的通信,DSP TM-SC6713通过EMIF 接口连接FPGA ,通过配置EMIF CE CTL (Space Control Register )确定FP-GA 工作时钟及读写周期。DSP 每个主循环内通过RS-485标准异步串口向主控制器传送数据,波特率为3.125Mbps ;为便于通过示波器识别串行数据波形,将通信协议帧格式长度减为6byte ,图9为数据传输测试中,示波器捕捉的串行数据波形。重复传送20次,与CCS 写入的C 程序数据对比,没有发现错误。

图9

数据串行通信信号采集图

(2)数控机床模拟实验测试

按图1所示,完成主控制器(由DSP 模拟)、运动

控制器、

伺服驱动器的连接,接入三轴伺服电机进行三轴直线插补试验,

主控制器(由DSP 模拟)通过RS-485总线发送功能号代码至运动控制器,由运动控制器进使用梯形曲线加减速曲线执行直线插补,

主控制器设置进给速度F =1m /s ,加速度a =5m /s 2,

插补距离S =0.3m ,初速度Vstart =0m /s ,末速度

Vend =0m /s 。采集各个插补周期所得的速度,反馈给主控制器,绘制成曲线图如图10所示。

插补后,实际插补距离Snow =0.3000011m ,即误差为1.1μm ,而末速度Vend =0.005023241m /s ,能够达到预期精度的要求。

·

16·2011年9月范德和,等:基于DSP 和FPGA 的运动控制器高速串行通信设计

图10梯形加减速曲线图

4结束语

本文以基于ARM的人机接口和基于DSP的运动控制结构的主从式数控系统为基础,主控制器与从控制器采用RS-485串行通信。利用FPGA芯片代替通信芯片,设计了基于FPGA的高速并串/串并转换电路,通过DSP TMSC6713的EMIF接口连接FP-GA,将UART的核心功能集成到FPGA内部,不仅满足数控系统数据传输高速性要求,而且硬件结构简单,可灵活设置接收和发送存储器(FIFO),优化并实现了异步通信方式。实验表明该RS-485通信系统能够满足数控机床人机接口与运动控制器之间的通信需要。

[参考文献]

[1]吴星明,陈伟海,于守谦,等.基于PCI总线的主从式多轴控制器开发[J].北京航空航天大学学报,2008,34

(1):112-116.

[2]洪永强,陈永波,蒋红霞.异构数控设备DNC系统的通信网络设计[J].仪器仪表学报,2003,24(z2):326-

328.

[3]刘向宇.DSP嵌入式常用模块与综合系统设计实例精讲[M].北京:电子工业出版社,2009.

[4]张国龙,徐晓苏.嵌入式组合导航系统中高速通信链的实现[J].华南理工大学学报(自然科学版),2009,37

(9):77-81.

[5]Slimaue-Kadi M,Brasen D,and Saucier G.A fast-FPGA Prototyping system that uses inexpensive high-performance

FPIC.Proc.2nd Annual Workshop On FPGAs,Berkeley,1994:147-156.

[6]赵加祥.DSP系统设计和BIOS编程及应用实例[M].北京:机械工业出版社,2007.

[7]TI Inc.TMS320C6000EMIF to External FIFO Interface.1999.

[8]TMS320C6713B Floating2point Digital Signal Processor,SPRS294B[Z].Texas Instruments Incorporated,2006,6.[9]数字系统设计及VHDL实践[M].机械工业出版社,2007.(编辑赵蓉)

(上接第57页)平均加权法的系统误差。正是由于以上优点,测量系统在实际使用时,并没有采用设定误差作为迭代的终止条件,利用测量点误差呈正态分布的特性,选取50%的测量点作为计算样本,简化了程序设计难度的同时,也能达到非常高的精度。

通过反复实验及其他测量设备对比,使用普通扫描测量方法很难进行0.030mm以下的圆弧测量。而通过本方法的辅助,即便由于加工失误产生的0.005mm的缺陷圆弧,也能被精确的测量,且测量和数据处理的综合效率远高于扫描测量,投资成本也较低。

6结束语

本文中提到的测量方法,就是以被测目标的三维数据作为启发式搜索的向导,通过自适应的数据处理算法,完成了传统的手动无法进行的测量,并在没有增加复杂测量系统及高级配件的前提下,达到了更高的测量精度及测量范围。

三坐标测量机是一种自动化程度非常高的测量设备,他的测量过程完全可以通过计算机进行控制。通过良好设计的测量程序,可以大大提高测量的精度。

对于我国大量现役的各种三坐标测量机,使用计算机辅助方法对设备进行改造,可以大大延长设备的生命周期。对于特殊加工行业的测量工作,可以得到更高数量级的测量结果。

[参考文献]

[1]刘祚时,倪潇娟.三坐标测量机(CMM)的现状和发展趋势[J].机械制造,2004,42(8):32-34.

[2]张之江,金锦耀.现代模型化坐标测量[J].计量技术,2002(1):12-14.

[3]印玉明,李明,卓军,等.基于三坐标测量机对涡轮叶片测量的研究与实践[J].现代机械,2004(1):63-64,71.[4]陈凯云,谢晓芹,叶佩青,等.航空压气机叶片型面在线激光测量系统设计[J].制造技术与机床,2004(8):53-

56.

[5]冯国馨,张国雄,解则晓,等.CMM曲线曲面测量领域的关键问题[J].航空精密制造技术,1999,35(6):23-25.[6]王增强,蔺小军,任军学,等.CMM测量曲面测头半径补偿与路径规划研究[J].机床与液压,2006(3):75-77.[7]颉赤鹰,陈少克.三坐标测量机测头半径补偿的新方法[J].组合机床与自动化加工技术,2006(1):50-52.[8]叶佩青,陈凯云,张辉,等.叶片进排气边缘测量型值点插值方法研究[J].系统工程与电子技术,2005,27(9):

1617-1619.

[9]王红敏,石沛林.三坐标测量机测头的测球半径补偿误差的计算[J].工具技术,2003,37(7):63-65.

(编辑李秀敏)

·

26

·组合机床与自动化加工技术第9期

常见串口接口电路设计集锦

常见串口接口电路设计集锦 六种常用串口接口电路1、并口接口(分立元件) 适用于Windows 95/98/Me 操作系统。这个电路与FMS 随软件提供的电路比多了一个200K 的电阻,这个主要是为了与JR 的摇控器连接,因为JR 的摇控器教练口好象是集电极开路设计的,需要加一只上拉电阻才能正常工作。 不过电路还是满简单的,用的元件也很少,很适合无线电水平不太高的朋友们 制作,只是不能用于Win2000/XP 上有点让人遗憾。 2、串口接口(分立元件)字串5 适用于Windows 95/98/Me 操作系统,电路也不是很复杂,当然元件比并口电路多了一些,而且串口的外壳比并口小很多,如何把这些元件都放到小 小的外壳里免不了要大家好好考虑一下了。当做体积小也是它的最大的优点, 而且不用占用电脑并口,因为现在还有一些打印机还是要用并口的。缺点同样 是不支持Win2000/XP。 3、串行PIC 接口(使用PIC12C508 单片机)字串9 适用于Windows 95/98/Me/2000/XP 操作系统。电路简单,只是用到MicroChip 公司的PIC12C508 型单片机,免不了要用到编程器向芯片里写程序了,这个东西一般朋友可能没有,不过大多卖单片机的地方都有编程器,你只 要拿张软盘把需要用的HEX 文件拷去让老板帮你写就可以了。这个接口最大 的优点就是支Win2000/XP 操作系统,还可以用PPJOY 这个软件来用摇控器虚拟游戏控制器玩电脑游戏。 4、25 针串行PIC 接口(使用PIC12C508 单片机) 适用于Windows 95/98/Me/2000/XP 操作系统。电路同9 针的接口基本一样,只不过是接25 针串口的,现在用的不是很多了。

串行通信技术-模拟信号转换接口

微机原理与应用实验报告6 实验9 串行通信技术 实验10A 模拟信号转换接口 实验报告

实验九串行通信技术 一、实验目的 1. 了解异步串行通信原理; 2. 掌握MSP430异步串行通信模块及其编程方法; 二、实验任务 1. 了解MSP430G2553实验板USB转串口的通信功能,掌握串口助手的使用 (1)利用PC机的串口助手程序控制串口,实现串口的自发自收功能 为实现PC串口的自发自收功能,须现将实验板上的扩展板去下,并将单片机板上的BRXD和BTXD用杜邦线进行短接,连接图如下所示: 由此可以实现PC串口的自收自发功能。 (2)思考题:异步串行通信接口的收/发双方是怎么建立起通信的 首先在异步通信中,要求接收方和发送方具有相同的通信参数,即起始位、停止位、波特率等等。在满足上面条件的情况下,发送方对于每一帧数据按照起始位数据位停止位的顺序进行发送,而接收方则一直处于接受状态,当检测到起始位低电平时,看是采集接下来发送方发送过来的数据,这样一帧数据(即一个字符)传送完毕,然后进行下一帧数据的接受。这样两者之间就建立起了通信。 2. 查询方式控制单片机通过板载USB转串口与PC机实现串行通信 (1)硬件连接图

(2)C语言程序 采用SMCLK=1.0MHz时,程序如下:

其中SMCLK=1MHz,波特率采用的是9600,采用低频波特方式,则N=1000000/9600=104.1666…,故UCA0BR1=0,UCA0BR0=104,UCBRS=1; 当采用外部晶振时,时钟采用默认设置即可,程序如下:

也是采用了低频波特率方式,所以关于波特率设置的相关计算和上面是一样的。 (3)思考:如果在两个单片机之间进行串行通信,应该如何设计连线和编程? 由于在上面的连线中将单片机上的P1.2和BRXD相连,P1.1和BTXD相连,所以若要在两个单片机之间进行通信,首先应该将两个单片机的P1.2和P1.1交叉相连,并根据上面的程序进行相同的关于端口和波特率相关的设置即可实现两个单片机之间的通信。 3. (提高)利用PC机RS232通信接口与单片机之间完成串行通信 (1)硬件连接图 在实验时,采用了将PC机的串口com1直接连接至MSP430F149的孔型D9连接器上,G2553单片机的输出引脚P1.1和P1.2分别与F149单片机上的URXD1和UTXD1相连接,连接图如下所示:

揭秘DSP电机控制串行通信系统电路设计

揭秘DSP电机控制串行通信系统电路设计 在电机控制系统中,客户端要通过上位机来设置电机的运行参数,而 被控电机也要将各种运行状态信息实时地传给远程控制端客户,串行通信由于 连线少,成本低,简单可靠,被广泛应用。本文利用SCI 串行通讯接口实现DSP 控制器与PC 机的通信连接;电机控制系统中还必须有数码显示驱动电路,以便于现场控制人员及时了解电机当前的转速等信息,因此利用SPI 同步串行口来实现DSP 与外围设备之间的通信就很有必要。分析了数字信号处理芯片TMS320LF2407ADSP 的串行外设接口SPI,及串行通信接口SCI 模块。在电机控制实验系统中,给出了由这2 个模块构成的串行通信应用实例。重点讲述了 相关的串行通信接口电路硬件设计高。 串行通信接口模块的硬件电路设计 电机的运行参数,如转向、转速及位置信号等由主机制定,通过RS232 串行通信传输到DSP,DSP 再将运行结果返回给主机的电机控制系统中,以实现远程客户端和被控电机之间的通讯。由于上位机(PC)一般都带有RS232 接口,所以我们利用上位机的串行口与下位机DSP 的异步串行口SCI 来进行RS232C 通信和数据交换,实现计算机对工业现场被控对象的检测和控制。TMS32OLF24O7A 的串行通信接口电路如该实验系统利用DSP 的SCI 串行通信接口电路实现了DSP 控制器与上位机的通讯连接,整个接口电路简单,可靠性高。DSP 控制器通过SCI 串行通信接口电路,将控制系统的实时运行状态上传给上位机供存储和分析,而上位机则通过SCI 串行通信接口电路将控制指令(例如起、停、正转、反转、运行速度等)发送给DSP 控制器,以实现对电机控制系统的实时控制。本试验系统的串行通信速率可变,波特率默认值为l 9200,从l200,2400,4800,9 600,到l9 200 可调。

串行通信技术SERDES正成为高速接口的主流

串行通信技术SERDES正成为高速接口的主流 串行通信技术SERDES正成为高速接口的主流 2009-08-21 13:44随着对信息流量需求的不断增长,传统并行接口技术成为进一步提高数据传输速率的瓶颈。过去主要用于光纤通信的串行通信技术——SERDES正在取代传统并行总线而成为高速接口技术的主流。本文阐述了介绍SERDES 收发机的组成和设计,并展望了这种高速串行通信技术的广阔应用前景。 ? SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种时分多路复用(TDM)、点对点的通信技术,即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,从而大大降低通信成本。 ? SERDES技术最早应用于广域网(WAN)通信。国际上存在两种广域网标准:一种是SONET,主要通行于北美;另一种是SDH,主要通行于欧洲。这两种广域网标准制订了不同层次的传输速率。目前万兆(OC-192)广域网已在欧美开始实行,

中国大陆已升级到2.5千兆(OC-48)水平。SERDES技术支持的广域网构成了国际互联网络的骨干网。 ? SERDES技术同样应用于局域网(LAN)通信。因为SERDES 技术主要用来实现ISO模型的物理层,SERDES通常被称之为物理层(PHY)器件。以太网是世界上最流行的局域网,其数据传输速率不断演变。IEEE在2002年通过的万兆以太网标准,把局域网传输速率提高到了广域网的水平,并特意制订了提供局域网和广域网无缝联接的串行WAN PHY。与此同时,SERDES技术也广泛应用于不断升级的存储区域网(SAN),例如光纤信道。 ? 随着半导体技术的迅速发展,计算机的性能和应用取得了长足进步。可是,传统并行总线技术——PCI却跟不上处理器和存储器的进步而成为提高数据传输速率的瓶颈。新一代PCI标准PCI Express正是为解决计算机IO瓶颈而提出的(见表1)。PCI Express是一种基于SERDES的串行双向通信技术,数据传输速率为2.5G/通道,可多达32通道,支持芯片与芯片和背板与背板之间的通信。国际互联网络和信息技术的兴起促成了计算机和通信技术的交汇,而SERDES串行通信技术逐步取代传统并行总线正是这一交汇的具体体现。

基于单片机的串行通信接口的设计开题报告

专科毕业设计/论文 开题报告课题名称基于单片机的串行通信接口的设计 系别机电与自动化学院 专业班机电一体化技术1002班 姓名柯辉 评分 导师(签名) 华中科技大学武昌分校 20年月日

毕业设计(论文)开题报告撰写要求: 1.开题报告的主要内容 1)所选课题国内、外研究及发展状况 2)课题研究的目的和意义; 3)课题研究的主要内容、难点及关键技术; 4)研究方法及技术途径; 5)实施计划。 2.主要参考文献:不少于3篇。 3.开题报告的字数不少于1500字,格式按《华中科技大学武昌分校专科毕业设计(论文)撰写规范》的要求撰写。 4.开题报告单独装订,本附件为封

华中科技大学武昌分校专科学生毕业设计开题报告学生姓名柯辉学号20102821076专业班级机电一体化1002系别机电与自动化指导教师吴蕾职称工程师课题名称基于单片机的串行通信接口的设计 1课题国内、外研究及发展状况 1.1课题在国内研究发展状况 随着城市的不段发展和工业科技的不断进步,电子工业产品也越来越自动化和小型化,人们对信息流量的需求也在不断增长,传统并行通信接口技术成为进一步提高数据传输速率的瓶颈。过去主要用于光纤通信的串行通信接口技术与并行接口技术相比其设计简单、控制方便不仅简化了系统的连线,缩小了电路板的面积,节省了系统资源而且还具有扩展性好、编程方便,易于实现用户系统软硬件的模块化以及标准化,串行接口少,便于远距离传输等优点所以串行通信接口技术正在取代传统并行通信接口技术而成为高速接口技术的主流。而在串行通信接口技术中又以RS232和RS485两种串口应用的最为广泛。在国内一些高端知名的电子产品公司如联想、华为、海信等连年不断的对电脑、手机进行升级处理这些都离不开接口技术的快速发展,而人们对这类高端产品的依赖也促进了电子科技的进一步发展而串行通信接口技术正是电子科技的重中之重。有需求就有市场科技永远是人们生活中的一大主流,这就为串行通信接口的发展铺上了一条光明大道。 1.2课题在国外的研究发展状况 串行通信接口技术的发展越来越迅速蓬勃不仅仅表现在国内在国外发展同样迅猛由于国外政府对于IT行业的重视和照顾纷纷采取了一系列相关政策来促进串行通信技术发展使的串口技术成为了进入宽带化、网格化而且还具有了移动性、泛在性的可信网。例如美国的EIA、微软等协会、公司对串口技术的重视不断对串口技术进行升级改良。iphone、三星等国外智能手机的不断升级改良也离不开串行通信接口技术的进一步发展,所以人们想要生活的更好电子技术的研究是必不可少的而其中的串行通信技术也是重中之重。

基于51单片机的双机串行通信课程设计 1000110061

基于AT89C51单片机的双机串行通信设计 姓名:杨应伟 学号:100110061 专业:机械设计制造及其制动化 班级:机电二班

前言 单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域随着计算机技术的发展及工业自动化水平的提高, 在许多场合采用单机控制已不能满足现场要求,因而必须采用多机控制的形式,而多机控制主要通过多个单片机之间的串行通信实现。串行通信作为单片机之间常用的通信方法之一, 由于其通信编程灵活、硬件简洁并遵循统一的标准, 因此其在工业控制领域得到了广泛的应用。 在测控系统和工程应用中,常遇到多项任务需同时执行的情况,因而主从式多机分布式系统成为现代工业广泛应用的模式。单片机功能强、体积小、价格低廉、开发应用方便,尤其具有全双工串行通讯的特点,在工业控制、数据采集、智能仪器仪表、家用电器方面都有广泛的应用。同时,IBM-PC机正好补充单片机人机对话和外围设备薄弱的缺陷。各单片机独立完成数据采集处理和控制任务,同时通过通信接口将数据传给PC机,PC机将这些数据进行处理、显示或打印,把各种控制命令传给单片机,以实现集中管理和最优控制。串行通信是单片机的一个重要应用,本次课程设计就是要利用单片机来完成一个系统,实现爽片单片机床航通信,通信的结果使用数码管进行显示,数码管采用查表方式显示,两个单片机之间采用RS-232进行双击通信。 在通信过程中,使用通信协议进行通信。在测控系统和工程应用中,常遇到多项任务需同时执行的情况,因而主从式多机分布式系统成为现代工业广泛应用的模式。单片机功能强、体积小、价格低廉、开发应用方便,尤其具有全双工串行通讯的特点,在工业控制、数据采集、智能仪器仪表、家用电器方面都有广泛的应用。同时,IBM-PC机正好补充单片机人机对话和外围设备薄弱的缺陷。各单片机独立完成数据采集处理和控制任务,同时通过通信接口将数据传给PC机,PC机将这些数据进行处理、显示或打印,把各种控制命令传给单片机,以实现集中管理和最优控制。 串行通信是单片机的一个重要应用,本次课程设计就是要利用单片机来完成一个系统,实现爽片单片机床航通信,通信的结果使用数码管进行显示,数码管采用查表方式显示,两个单片机之间采用RS-232进行双击通信。在通信过程中,使用通信协议进行通信。

异步串行接口电路及通信系统设计设计报告

异步串行接口电路及通信系统设计 设计报告 2009级可编程逻辑课程名称: 实验题目:学生姓名: YC 开课学院: Bio开课时间: 2011课程设计可编程逻辑设计异步串行接口电路及通信系统设计、SXL、ZY、YLJ、WJ 学院至2012学年第二学期重庆大学本科学生课程设计指导教师评定成绩表学院年级学生姓名课程设计题目be学院2009级指导教师专业Zxm. Wxp. BME YC、SXL、ZY、YLJ、WJ 异步串行接口电路及通信系统设计指导教师评语课程设计成绩指导教师签名:年月日重庆大学本科学生课程设计任务书课程设计题目学院BE学院异步串行接口电路及通信系统设计专业BME 年级、班09 BME 01、02班

设计要求:设计一个能进行异步全双工串行通信的模块,该模块以固定的串行数据传送格式收发数据。1)每帧数据供10 位,其中1位启动位,8位数据位,1位停止位。2)波特率为:9600。3)收发误码率摘要摘要通用串口是远程通信接口,在数字系统使用很普遍,是一个很重要的部件。本论文使用VHDL语言描述硬件功能,并适当借助Verilog HDL 语言,利用在FPGA 芯片上的综合描述,采用模块化设计方法设计UART的各个模块。其中包括波特率发生器,程序控制器,UART数据接收器和UART数据发送器,采用的外部时钟为50MHZ,波特率为9600。在QuartusII 环境下进行设计、编译和仿真。最后的程序编译仿真结果及硬件测试结果表明系统设计完全正确。关键字:VHDL; Verilog HDL;UART; 帧格式; FPGA;异步通信I 摘要Abstract In this paper, the use of

RS485串行通信电路设计

RS485串行通信接口电路的总体设计 在电参数仪的设计中,数据采集由单片机AT89C52负责,上位PC机主要负责通信(包括与单片机之间的串行通信和数据的远程通信),以及数据处理等工作。在工作中,单片机需要定时向上位PC机传送大批量的采样数据。通常,主控PC机和由单片机构成的现场数据采集系统相距较远,近则几十米,远则上百米,并且数据传输通道环境比较恶劣,经常有大容量的电器(如电动机,电焊机等)启动或切断。为了保证下位机的数据能高速及时、安全地传送至上位PC机,单片机和PC机之间采用RS485协议的串行通信方式较为合理。 实际应用中,由于大多数普通PC机只有常用的RS232串行通信口,而不具备RS485通信接口。因此,为了实现RS485协议的串行通信,必须在PC机侧配置RS485/RS232转换器,或者购买适合PC机的RS485卡。这些附加设备的价格一般较贵,尤其是一些RS485卡具有自己独特的驱动程序,上位PC机的通信一般不能直接采用WINDOW95/98环境下有关串口的WIN32通信API函数,程序员还必须熟悉RS485卡的应用函数。为了避开采用RS485通信协议的上述问题,我们决定自制RS485/RS232转换器来实现单片机和PC机之间的通信。 单片机和PC机之间的RS485通信硬件接口电路的框图,如下图1所示。 从图1可看出,单片机的通信信号首先通过光隔,然后经过RS485接口芯片,将电平信号转换成电流环信号。经过长距离传输后,再通过另一个RS485接口芯片,将电流环信号转换成电平信号。 图1单片机与PC机之间的RS485通信硬件接口电路的框图(略) 该电平信号再经过光电隔离,最后由SR232接口芯片,将该电平信号转换成与PC机RS232端口相兼容的RS232电平。由于整个传输通道的两端均有光电隔离,故无论是PC机还是单片机都不会因数据传输线上可能遭受到的高压静电等的干扰而出现“死机”现象。 2接口电路的具体设计 2-1单片机侧RS485接口电路的设计 单片机侧RS485接口电路如图2所示。 AT89C52单片机的串行通信口P3 0(RXD)和P3 1(TXD)的电平符合TTL/CMOS标准(逻辑“0”的电平范围为0V~0.8V,逻辑“1”的电平为2 4V~VCC),它们首先通过光电隔离器件6N137隔离,以保护单片机不受传输通道的干扰影响,其中T01和?T02是为了增加光隔输入端的驱动能力。光隔6N137的左侧电源与单片机相同,右侧必须采用另一组独立的+5V电源,且两组电源不能供电。 图2单片机侧RS485接口电路

基于单片机的RS-C串行通信接口设计

基于单片机的RS-232C串行通信接口设计 课程设计任务书 课程名称专业综合课程设计 院(系)专业 课程设计时间: 2011 年1 月3 日至2011 年1 月14 日课程设计的内容及要求: 利用WAVE仿真器、8051 单片机开发基于单片机的RS-232C串行通信系统,实现单片机与PC机的通讯,要求实现数据收发功能. 具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计单片机系统,给出电路原理图; (3)用仿真器及单片机系统和PC机进行程序设计与调试; (4)接受PC机发送数据,并将其会发给PC机; 指导教师年月日 负责教师年月日 学生签字年月日 目录 0. 前言 (1) .......... 1. 总体方案设计 (2) 2. 硬件电路的设计 (2) 2.1单片机介绍 (2)

2.2串口基本结构介绍 (3) 2.3电平转换电路设计 (4) 2.4整体电路设计 (5) 3 软件设计 (6) 3.1串行通信的实现 (6) 3.2流程框图 (6) 4.联合调试 (7) 5. 课设小结及进一步设想 (7) 参考文献 (9) 附录I 元件清单 (10) 附录II 整体电路图 (11) 附录III 源程序清单 (12) 杨毅沈阳航空航天大学自动化学院 摘要:随着计算机技术特别是单片机技术的发展,单片机的应用领域越来越广泛,单片机在工业控制、数据采集以及仪器仪表自动化等许多领域都起着十分重要的作用。但在实际应用中,在要求响应速度快、实时性强、控制量多的应用场合,单个单片机往往难以胜任,这时使用多个单片机接合PC 机组成分布式系统是一个比较好的解决方案。这样,单片机的数据通信技术就变得十分重要,在某种程度上说,掌握了单片机的数据通信技术也就是掌握了单片机的核心应用技术。现在单片机及PC机在结构、性能和经济上为实现远程串行通信提供了很好的条件,串行通信是指按照逐位顺序传递数据的通信方式,由于仅需三根传输线传送信息且通信距离相对较远,所以在控

基于单片机的RS-232C串行通信接口设计

课程设计任务书 课程名称专业综合课程设计 院(系)专业 课程设计题目基于单片机的RS-232C串行通信接口设计 课程设计时间: 2011 年1 月3 日至2011 年 1 月14 日 课程设计的内容及要求: 利用W A VE仿真器、8051单片机开发基于单片机的RS-232C串行通信系统,实现单片机与PC机的通讯,要求实现数据收发功能. 具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计单片机系统,给出电路原理图; (3)用仿真器及单片机系统和PC机进行程序设计与调试; (4)接受PC机发送数据,并将其会发给PC机; 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 (1) 1. 总体方案设计 (2) 2. 硬件电路的设计 (2) 2.1 单片机介绍 (2) 2.2 串口基本结构介绍 (3) 2.3 电平转换电路设计 (4) 2.4 整体电路设计 (5) 3 软件设计 (6) 3.1 串行通信的实现 (6) 3.2 流程框图 (6) 4.联合调试 (7) 5. 课设小结及进一步设想 (7) 参考文献 (9) 附录I 元件清单 (10) 附录II 整体电路图 (11) 附录III 源程序清单 (12)

基于单片机的RS-232C串行通信接口设计 杨毅沈阳航空航天大学自动化学院 摘要:随着计算机技术特别是单片机技术的发展,单片机的应用领域越来越广泛,单片机在工业控制、数据采集以及仪器仪表自动化等许多领域都起着十分重要的作用。但在实际应用中,在要求响应速度快、实时性强、控制量多的应用场合,单个单片机往往难以胜任,这时使用多个单片机接合PC机组成分布式系统是一个比较好的解决方案。这样,单片机的数据通信技术就变得十分重要,在某种程度上说,掌握了单片机的数据通信技术也就是掌握了单片机的核心应用技术。现在单片机及PC机在结构、性能和经济上为实现远程串行通信提供了很好的条件,串行通信是指按照逐位顺序传递数据的通信方式,由于仅需三根传输线传送信息且通信距离相对较远,所以在控制领域的现场监测、分布控制等场合有着重要的应用价值。 关键字:单片机、PC机、串行口、通信 0.前言 在各种单片机应用系统的设计中,如智能仪器仪表、各类手持设备、GPS接收器等,常常遇到计算机与外界的信息交换,即通讯。通信的基本方式可分为并行通信与串行通信两种。 并行通信是将组成数据的各位同时传送,并通过并行门(如P1口等)来实现。在并行通信中,数据传送线的根数与传送的数据位数相等,传送数据速度快,但所占用的传输线位数多。因此并行通信适合短距离通信。 串行通信是指数据一位一位地按顺序传送。串行通信通过串行口来实现。在全双工的串行通信中,仅需要一根发送线和一根接收线,串行通信可大大节省传送线路的成本,但数据传送速度慢。因此,串行通信适合于远距离通信。 目前,在许多单片机应用系统中,上、下位机分工明确,作为下位机核心器件的单片机往往只负责数据的采集和通信,而上位机通常以基于图形界面的Windows系统为操作平台,为便于查询和保存数据,还需要数据库的支持,如在测控系统中使用SQL Server数据库。 现阶段这种应用的核心便是数据通信,它包括单片机和上位机之间、客户端和服务器之间以及客户端和客户端之间的通信,而在单片机和上位机之间的数据通信则是整个系统的基础。单片机和PC的通信是通过单片机的串口和PC机之间的硬件连接实现。鉴于PC 机具有强大的监控和管理功能,单片机则具有快速以及容易控制的特点,在数据量不大、传输要求不高的情况下,一般都采用给PC机配置的RS-232标准串行接口COM1、COM2等

微机原理与接口专业技术课程设计(串行通讯)

一、设计意义 在信息飞速发展的时代,计算机的应用越来越广泛。而微机原理是机械工业控制设备的理论基础,学好了就能在激烈的竞争环境中找到一份好一点的工作。理论课程学习是让学生学习基本理论知识,对课程内容和原理有比较深刻的理解,只要从理论上理解,不用考虑实际的可行性。通过本次课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程,不仅需要在理论上能实现而且还要考虑实际的可行性,不能纸上谈兵。 二、设计目的 1、了解串行通信的基本原理。 2、掌握串行接口芯片8251的工作原理。 3、掌握8251芯片的编程方法。 4、了解8253的初始化。 5、巩固和加深在微机原理课程中所学的理论知识。通过课程设 计加深理解课堂教学内容,掌握计算机接口技术的基本应用方法。 6、学会查阅相关手册与资料,培养独立分析与解决问题能力。 三、设计环境 PC机一台,串行通讯接口芯片8251A一片,8253一片。TC-1集成开发环境实验箱一台。 四、设计题目及要求 4.1 设计题目 串行通讯

4.2 设计要求 设计一个串行通信系统,用软件编程和硬件实验来实现。具体要求: 用8253芯片作为计数器,用于产生8251的发送和接受时钟。TXD和RXD连在一起。 从PC机的键盘输入一个字符,将其ASCII码加1后发送出去,在接受回来在屏幕上显示,实现自发自收。 8251的控制端口地址为2B9H ,数据口地址为2B8H. 8253计数器的计算初值=时钟频率/(波特率*波特率因子),这里的时钟频率接1MHZ,波特率若选1200,波特因子若选16,则计数器初值为52。 收发采用查询方式。 五、设计原理 5.1.8251A的基本性能 8251A是可编程的串行通信接口芯片,基本性能: 1.两种工作方式:同步方式,异步方式。同步方式下,波特率为064K,异步方式下,波特率为0~19.2K。 2.同步方式下的格式 每个字符可以用5、6、7或8位来表示,并且内部能自动检测同步字符,从而实现同步。除此之外,8251A也允许同步方式下增加奇/偶校验位进行校验。 3.异步方式下的格式

基于51单片机的双机串行通信课程设计

基于51单片机的双机串行通信课程设计 一、总体设计 1设计目的 1.通过设计相关模块充分熟悉51单片机的最小系统的组成和原理; 2.通过软件仿真熟悉keil和proteus的配合使用; 3.通过软件编程熟悉51的C51编程规范; 4.通过实际的硬件电路搭设提高实际动手能力。 2.设计要求: 两片单片机之间进行串行通信,A机将0x06发送给B机,在B机的数码管上静态显示1,B机将0~f动态循环发送到A机,并在其数码管上显示。 3.设计方案: 软件部分,通过通信协议进行发送接收,A机先送0x06(B机数码管显示1)给B机(B 机静态显示),当从机接收到后,向B机发送代表0-f的数码管编码数组。B收到0x06后就把数码表TAB[16]中的数据送给从机。 二、硬件设计 1.51单片机串行通信功能

图1.AT89C51(52) 计算机与外界的信息交换称为通信,常用的通信方式有两种:并行通信和串行通信。51单片机用4个接口与外界进行数据输入与数据输出就是并行通信,并行通信的特点是传输信号的速度快,但所用的信号线较多,成本高,传输的距离较近。串行通信的特点是只用两条信号线(一条信号线,再加一条地线作为信号回路)即可完成通信,成本低,传输的距离较远。 51单片机的串行接口是一个全双工的接口,它可以作为UART(通用异步接受和发送器)用,也可以作为同步移位寄存器用。51单片机串行接口的结构如下: (1)数据缓冲器(SBUF) 接受或发送的数据都要先送到SBUF缓存。有两个,一个缓存,另一个接受,用同一直接地址99H,发送时用指令将数据送到SBUF即可启动发送;接收时用指令将SBUF中接收到的数据取出。 (2)串行控制寄存器(PCON) SCON用于串行通信方式的选择,收发控制及状态指示,各位含义如下: SM0,SM1:串行接口工作方式选择位,这两位组合成00,01,10,11对应于工作方式0、1、2、3。串行接口工作方式特点见下表

串行通信接口设计说明

自动化技术综合实训报告 实训题目:串行通讯接口设计 院系:信系工程与自动化学院自动化系 专业:自动化 班级:自动化 姓名: 学号: 指导教师: 实训地点:信自楼 开课时间:2011年6月22日 学生姓名:

串行通信接口设计 摘要:本文介绍了PC机与单片机采用RS-232C进行串行通信的接口方法。利用89C51,MAX232芯片等其他元件组成简单的PC机与单片机数据互发电路。给出了PC机实现串行通信的软件设计方法。 关键字:串行通信、PC机、单片机、RS232C Keywords: serial communication, PC, microcontroller, RS232C Abstract: This paper describes the use of PC and microcontroller RS-232C serial communication interface methods. Using 89C51, MAX232 chip and other components form a simple PC and send data to each chip circuit. Giving the PC serial communication software design methods. 个人摘要:这次的自动化技术综合实训中,我在本小组中主要负责的是硬件方面。包括排板、焊接电路和硬件的调试以及帮助参考辅助别的方面的设计。由于经验不足出现排版不合理,只为一遍接线方便而未能合理排版而出现了一些不必要的跨线,总体来说焊接过程顺利。美观度一般,未出现短接虚焊等情况!

以太网与串行接口转换电路设计

以太网与串行接口转换电路设计 越来越多的设备需要接入网络以实现远程控制或资源共享。以太网接 口布线方便,通信带宽较宽,可达100 Mb/s 甚至1000 Mb/s。嵌入式系统由于具有体积小、价格低、专用性能高的优势,被广泛应用于各种电子设备和工业 测试设备中。随着嵌入式系统与网络的日益紧密结合,在嵌入式系统中引入 TCP/IP 协议栈已成为嵌入式系统领域的重要研究方向。 本文在以太网接口实现基本数据传输的基础上将其转换成其他通用串行 接口(如SPI、UART、CAN),更利于应用在广泛的工业控制领域中。目前市 面上的以太网控制芯片大多功耗高、功能复杂,不适合用在中低端的嵌入式系 统中。之前Microchip 推出的芯片ENC28J60 的网络接口速度只能达到10 Mbps,其SRAM buffer 也不大容易造成接收溢出,而ENC424J600 速度可达100 Mbps,功能将更加完善,并提供两种接口给嵌入式开发者选择,是一款很好的网络接口芯片。STM32F103RET6 是一款ARM 处理器,它的功耗低、价 格低廉、功能强大、具备丰富的串行接口,具有512 KB 的Flash,在一般的应用中可以不用外扩Flash,是中低端嵌入式开发系统的最佳选择之一。将两者结合不但能实现网络通信,而且可以灵活转换成其他串行接口,用途广泛网络控制器外围硬件电路 ENC424J600 网络控制器外围硬件电路设计需要注意的几点有: ENC424J600 的SPISEL 引脚需要通过100 kΩ电阻上拉至电源,这样系统上电时就能进入SPI 模式,同时引出INT 引脚作为接收中断使用;每个电源 引脚与地之间都应连接一个电容,为更好地防止干扰在设计时加了两个电容, 布线时使0?01 μF 电容更靠近电源引脚;RBIAS 引脚需接一个12.4 kΩ的电阻到地;另外RD+、RD-差分信号处的接法与一般的网络控制器

CAN总线接口电路设计注意事项(精)

CAN总线接口电路设计注意事项收藏 CAN 总线是一种有效支持分布式控制和实时控制的串行通信网络,以其高性能和高可靠性在自动控制领域得到了广泛的应用。为提高系统的驱动能力,增大通信距离,实际应用中多采用Philips公司的82C250作为CAN控制器与物理总线间的接口,即CAN收发器,以增强对总线的差动发送能力和对CAN控制器的差动接收能力。为进一步增强抗干扰能力,往往在CAN 控制器与收发器之间设置光电隔离电路。典型的CAN总线接口电路原理如图1所示。 图1 典型的CAN总线接口电路原理图 1 接口电路设计中的关键问题 1.1 光电隔离电路 光电隔离电路虽然能增强系统的抗干扰能力,但也会增加CAN总线有效回路信号的传输延迟时间,导致通信速率或距离减少。 82C250等型号的CAN收发器本身具备瞬间抗干扰、降低射频干扰(RFI以及实现热防护的能力,其具有的电流限制电路还提供了对总线的进一步保护功能。因此,如果现场传输距离近、电磁干扰小,可以不采用光电隔离,以使系统达到最大的通信速率或距离,并且可以简化接口电路。如果现场环境需要光电隔离,应选用高速光电隔离器件,以减少CAN总线有效回路信号的传输延迟时间,如高速光电耦合器 6N137,传输延迟时间短,典型值仅为48 ns,已接近TTL电路传输延迟时间的水平。

1.2 电源隔离 光电隔离器件两侧所用电源Vdd与Vcc必须完全隔离,否则,光电隔离将失去应有的作用。电源的隔离可通过小功率DC/DC电源隔离模块实现,如外形尺寸为DIP-14标准脚位的5 V 双路隔离输出的小功率DC/DC模块。 1.3 上拉电阻 图1中的CAN收发器82C250的发送数据输入端TXD与光电耦合器6N137的输出端OUT相连,注意TXD必须同时接上拉电阻R3。一方面,R3保证6N137中的光敏三极管导通时输出低电平,截止时输出高电平;另一方面,这也是CAN 总线的要求。具体而言, 82C250的TXD端的状态决定着高、低电平CAN 电压输入/输出端CANH、CANL的状态(见表1。CAN总线规定,总线在空闲期间应呈隐性,即CAN 网络中节点的缺省状态是隐性,这要求82C25O的TXD端的缺省状态为逻辑1(高电平。为此,必须通过R3确保在不发送数据或出现异常情况时,TXD端的状态为逻辑1(高电平。 表1 TXD与CANH、CANL的关系表 TXD CANH电CANL电CAN总 状态平(V 平(V 线状态 1 2.5 2.5 隐性(逻辑1 0 3.5 1.5 显性(逻辑0 1.4 总线阻抗匹配 CAN总线的末端必须连接2个120Ω的电阻,它们对总线阻抗匹配有着重要的作用,不可省略。否则,将大大降低总线数据通信时的可靠性和抗干扰性,甚至有可能导致无法通信。

几种串行通信接口标准

几种串行通信接口标准 在数据通信、计算机网络以及分布式工业控制系统中,经常采用串行通信来交换数据和信息。1969年,美国电子工业协会(EIA)公布了RS-232C作为串行通信接口的电气标准,该标准定义了数据终端设备(DTE)和数据通信设备(DCE)间按位串行传输的接口信息,合理安排了接口的电气信号和机械要求,在世界范围内得到了广泛的应用。但它采用单端驱动非差分接收电路,因而存在着传输距离不太远(最大传输距离15m)和传送速率不太高(最大位速率为20Kb/s)的问题。远距离串行通信必须使用Modem,增加了成本。在分布式控制系统和工业局部网络中,传输距离常介于近距离(<20m)和远距离(>2km)之间的情况,这时RS-232C(25脚连接器)不能采用,用Modem又不经济,因而需要制定新的串行通信接口标准。 1977年EIA制定了RS-449。它除了保留与RS-232C兼容的特点外,还在提高传输速率,增加传输距离及改进电气特性等方面作了很大努力,并增加了10个控制信号。与RS-449同时推出的还有RS-422和RS-423,它们是RS-449的标准子集。另外,还有RS-485,它是RS-422的变形。RS-422、RS-423是全双工的,而RS-485是半双工的。 RS-422标准规定采用平衡驱动差分接收电路,提高了数据传输速率(最大位速率为 10Mb/s),增加了传输距离(最大传输距离1200m)。 RS-423标准规定采用单端驱动差分接收电路,其电气性能与RS-232C几乎相同,并设计成可连接RS-232C和RS-422。它一端可与RS-422连接,另一端则可与RS-232C连接,提供了一种从旧技术到新技术过渡的手段。同时又提高位速率(最大为300Kb/s)和传输距离(最大为600m)。 因RS-485为半双工的,当用于多站互连时可节省信号线,便于高速、远距离传送。许多智能仪器设备均配有RS-485总线接口,将它们联网也十分方便。 串行通信由于接线少、成本低,在数据采集和控制系统中得到了广泛的应用,产品也多种多样。 RS232,RS422,RS485的区别 1、RS-232-C RS-232-C是美国电子工业协会EIA(Electronic Industry Association)制定的一种串行物理接口标准。RS是英文“推荐标准”的缩写,232为标识号,C表示修改次数。RS-232-C总线标准设有25条信号线,包括一个主通道和一个辅助通道。 在多数情况下主要使用主通道,对于一般双工通信,仅需几条信号线就可实现,如一条发送线、一条接收线及一条地线。 RS-232-C标准规定的数据传输速率为每秒50、75、 100、150、300、600、1200、2400、4800、9600、19200波特。 RS-232-C标准规定,驱动器允许有2500pF的电容负载,通信距离将受此电容限制,例如,采用150pF/m的通信电缆时,最大通信距离为15m;若每米电缆的电容量减小,通信距离可以增加。传输距离短的另一原因是RS-232属单端信号传送,存在共地噪声和不能抑制共模干扰等问题,因此一般用于20m以内的通信。 2、RS-485 RS-485总线,在要求通信距离为几十米到上千米时,广泛采用RS-485 串行总线标准。

课设:基于单片机的RS-232C串行通讯接口设计

沈阳航空航天大学 课程设计 (论文) 题目基于单片机的RS-232C串行通讯接口 设计 班级 9407102 学号 2009040701067 学生姓名徐茂哲 指导教师张晓东

沈阳航空航天大学 课程设计任务书 课程名称专业综合课程设计 院(系)自动化学院专业测控技术与仪器 班级9407102 学号2009040701067 姓名徐茂哲 课程设计题目基于单片机的RS-232C串行通讯接口设计 课程设计时间: 2012 年12月29 日至2013 年1月11 日 课程设计的内容及要求: 利用8051单片机开发RS-232C串行通信系统,利用Modbus通信协议,实现单片机与PC机的通信,要求实现数据收发功能。 具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计单片机系统,给出电路原理图; (3)用单片机系统和PC机进行程序设计与调试; (4)接收PC机发送数据,并将其回发给单片机; 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 0 1. 总体方案设计 (1) 2. 硬件电路的设计 (1) 2.1 单片机系统 (1) 2.2 MAX232芯片 (4) 2.3 整体电路设计 (5) 3 软件设计 (7) 4.联合调试 (6) 5. 课设小结及进一步设想 (8) 参考文献 (9) 附录I 元件清单 (10) 附录II 整体电路图 (10) 附录III 源程序清单 (12)

基于单片机的RS-232C串行通讯接口设计 徐茂哲沈阳航空航天大学自动化学院 摘要:本文主要设计了一个基于RS-232C的单片机串行通讯接口系统,利用Modbus通信协议,实现单片机与PC机的通信,要求实现数据收发功能。。 关键字:RS-232C;数据发送;数据接收;LED显示;单片机与PC机串行通信。 0.前言 计算机的发展对通信起了巨大的推动作用,计算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。随着电子技术和计算机技术的发展,特别是单片机的发展,使传统的测量仪器在原理、功能、精度及自动化水平等方面发生了巨大的变化,形成了一种完全突破传统概念的新一代测试仪器——智能仪器。智能仪器是以微处理器为核心的电子仪器,它不仅要求设计者熟悉电子仪器的工作原理,而且还要求其掌握微型计算机硬件和软件的原理。目前,有很多的传统电子仪器已有相应的替代产品,而且还出现不少全新的仪器类型和测试系统体系。在科学技术高速发展的今天,如何用简单便宜、性能良好的元器件制造出对人类生活有用的产品,已经成为人们研究的主要趋势。 在自动化技术中,无论是过程控制技术还是数据采集技术还是测控技术,都离不开单片机,在工业自动化的领域中,机电一体化技术发挥越来越重要的作用,在通信方面,单片机得到了广泛运用。在实现计算机与计算机、计算机与外设的串行通讯时,通常采用标准的通讯接口。所谓标准的通讯接口,就是明确定义若干信号线的机械、电器特性,使接口电路标准化、通用化,这样就能方便地把不同的计算机、外设等有机地连接起来,进行串行通讯。 RS-232C是由美国电子工业协会(EIA)制定的用于串行通信的标准通信接口,利用它可以很方便地把各种计算机、外围设备、测量仪器等有机地连接起来,进行串行通信。它包括按位传输的电气和机械方面的规定,适用于短距离或带调制解调器的通信场合。 RS-232C标准适用于DCE和DTE之间的串行二进制通信,最高的数据速率为19.2Kbit/s,在使用此波特率进行通信时,最大传输距离在20m之内。降低波特率可以增加传输距离。对于RS-232C标准接口的使用是非常灵活的,实际通信中经常采用9针接口进行数据通信。

RS-232接口与单片机串行通信程序设计

本文由zzuzx贡献 doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。 RS-232 接口与单片机串行通信程序设计 作者:mcu110 来源:51hei 点击数:7377 更新时间:2007 年 08 月 07 日【字体:大中小】 单片机的串行口是非常有用的,通过他我们可以把单片机系统的数据传回电脑处理或者接受电脑传过来的数据而进行相应的动作,下面我就给大家介绍上一下电脑的 RS-232 接口与单片机串行通信程序设计方法,https://www.doczj.com/doc/e12294365.html, 上还有很多这样的文章大家去搜索下。 RS-232 简介:在串行通讯时,要求通讯双方都采用一个标准接口,使不同的设备可以方便地连接起来进行通讯.RS-232-C 接口(又称 EIA RS-232-C)是目前最常用的一种串行通讯接口. ("RS-232-C"中的"-C"只不过表示 RS-232 的版本,所以与"RS-232"简称是一样的)它是在 1970 年由美国电子工业协会(EIA)联合贝尔系统,调制解调器厂家及计算机终端生产厂家共同制定的用于串行通讯的标准.它的全名是"数据终端设备(DTE)和数据通讯设备(DCE)之间串行二进制数据交换接口技术标准"该标准规定采用一个 25 个脚的 DB-25 连接器,对连接器的每个引脚的信号内容加以规定,还对各种信号的电平加以规定.后来 IBM 的 PC 机将 RS232 简化成了 DB-9 连接器,从而成为事实标准.而工业控制的 RS-232 口一般只使用RXD,TXD,GND 三条线. 下面,让我们使用 S51 增强型单片机实验板来开发一个简单的串口通信实验程序,这是一个串口通信综合实验,需要全套餐客户的相关配件配合才能完成。通过该实验程序,我们可以检测实验板串口的状态,接收计算机发送来的数据,然后再发送回计算机,如果程序中发送和接收窗中显示的字符相同,则说明实验板的串口是良好的,能够正常收发数据,另外单片机接收计算机的控制指令,根据控制指令来控制实验板上的继电器动作。 首先让我们来看看我们做的通讯软件的实际效果…… RS-232 接口与单片机串行通信程序设计的界面 图 1 注:当前按键:“K”,按键的 ASC 码:“75”,按键的 16 进制码:“4BH” S51 增强型单片机实验板与电脑串口实现 RS232 通信运行效果图 图 2 注:按压 PC 键盘的按键“K”单片机将串口接收到按键实时显示在液晶模块上(锁定了大小键)。 1、液晶模块第一行显示“PS2-KEY: K ”,表示接收到 PS2 键盘的按键:“K” 2、液晶模块第二行显示“HEX:4Bh “75” ASC:75 ”,表示 K 的 HEX 码为“4BH”,ASC 码为: 图 3 注:按压 PC 键盘的按键“2”单片机将串口接收到按键实时显示在液晶模块上 1、液晶模块第二行显示“HEX: 32h ASC:”, 50 表示 K 的 HEX 码为“32H”, ASC 码为:“50” 2、液晶模块第一行显示“PS2-KEY: 2 ”,表示接收到 PS2 键盘的按键:“2” 从上面两幅图中可以看到,我们实现主要目标如下: 1、在计算机上,VB6.0 编写的通信测试程序检测的键盘操作,将我们的按压 PS2 键盘(P C 键盘)按键显示在数据发送窗中,显示按键当前按键、按键的 ASC 码、按键的 16 进制码。同时将键值通过电脑的 RS-232 串口发送到 S51 单片机实验板上,实验板上的AT89S51 单片机接收到数据后,以二进制方式显示在实验板的 8 位高亮度 LED 上,然后把接收到的数据重新发送回电脑的 RS-232 串口,电脑接收到后显示在程序的接收数据窗中。 2、单片机检测识别计算机发送过来的键盘键值,将按键值以二进制形式显示在 P1 口的 8 位高亮度 LED 上,同时,将当前按键、按键的 HEX 码、ASC 码实时显示在 1602 液晶模块上面。 3、单片机接收计算机对单片机的控制指令,当计算机发送“继电器 ON 指令”时,则单

相关主题
文本预览
相关文档 最新文档