当前位置:文档之家› VHDL复习题

VHDL复习题

VHDL复习题
VHDL复习题

习题

3.1比较常用硬件描述语言VHDL、Verilog和ABEL语言的优劣。

1.VHDL:描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较高。有多种EDA工具选择,已成为IEEE标准。

应用VHDL进行工程设计的优点是多方面的,具体如下:

(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力。

(2) VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断。

(3) VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。

(4) 用VHDL完成一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动把VHDL描述设计转变成门级网表(根据不同的实现芯片)。

(5) VHDL对设计的描述具有相对独立性。

(6) VHDL具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量或函数,就能轻易地改变设计的规模和结构。

2. Verilog:设计者需要了解电路的结构细节,对综合器的性能要求较低。有多种EDA工具选择,已成为IEEE标准。

3.ABEL: 设计者需要了解电路的结构细节,对综合器的性能要求较低。支持ABEL的综合器只有一家,ABEL正朝国际化标准努力。

3.2 VHDL程序一般包括几个组成部分?每部分的作用是什么?

(1)三个基本组成部分:库、程序包使用说明,实体描述和实体对应的结构体描述。(2)库、程序包使用说明:用于打开调用本设计实体将用到的库、程序包实体描述:用于描述该设计实体与外界的接口信号说明

结构体描述:用于描述该设计实体内部的组成及内部工作的逻辑关系

结构体配置语句主要用于层次化的方式对特定的设计实体进行元件的例化,或是为实体选定某个特定的结构体

3.3 VHDL语言中数据对象有几种?各种数据对象的作用范围如何?各种数据对象的实际物理含义是什么?

(1)数据对象有三种:变量、常量、信号

(2)常量的作用范围取决于其所定义的位置。若在程序包中定义,则可以用在调用该程序包的所有设计实体中。若定义在实体中,则可在这个实体的所有结构体中使用。若定义在结构体中,则只能用于该结构体。若定义在进程/子程序中,则只能用于该进程/子程序。

变量属于局部量,作用范围仅限于所定义的进程或子程序内部。

信号属于全局量,作用范围取决于其所定义的位置。若在程序包中定义,则可以用在调用该程序包的所有设计实体中。若定义在实体中,则可在这个实体的所有结构体中使用。若定义在结构体中,则只能用于该结构体。

(3)信号表示硬件中的连线,用于各并行语句模块之间的通信。变量一般用于存储局部/临时数据。常量表示电路中的恒定电平,可使代码中常数易于阅读和修改。

3.4 什么叫标识符?VHDL的基本标识符是怎样规定的?

(1)标识符用来定义常量、变量、信号、端口、子程序或者参数的名字。

(2)VHDL的基本标识符就是以英文字母开头,不连续使用下划线,不以下划线结尾的,由26个英文大小写字母,数字0-9以及下划线组成的字符串。

3.5 信号和变量在描述和使用时有哪些主要区别?

(1)变量只能在进程或子程序内部定义,用于存储局部/临时数据。信号只能在进程或子程序的外部定义,表示硬件中的连线,用于各并行语句模块之间的通信。

(2)信号用signal关键字定义,赋值符号为”<=”。变量用variable关键字定义,赋值符号为”:=”

(3)信号赋值,可以设定延时量,需要延时一段时间后才执行;变量赋值立即执行。

3.6 VHDL语言中的标准数据类型有哪几类?用户可以自己定义的数据类型有哪几类?并简单介绍各数据类型。

(1)标量型:属单元素最基本的数据类型,通常用于描述一个单值数据对象,它包括实数类型、整数类型、枚举类型和时间类型。

复合类型:可以由细小的数据类型复合而成,如可有标量复合而成。复合类型主要有数组型和记录型。

存取类型:为给定的数据类型的数据对象提供存取方式。

文件类型:用于提供多值存取类型。

(2)用户可自定义的数据类型:枚举类型、整数类型、数组类型、记录类型、时间类型、实数类型等

3.7 BIT数据类型和STD_LOGIC数据类型有什么区别?

BIT 数据类型只能取值0 或1,而STD_LOGIC 数据类型是BIT 数据类型的扩展,除了0 和1 外,还包括7 种数据类型,分别是U,X,Z,W,L,H,_

3.8 用户怎样自定义数据类型?试举例说明。

利用类型定义语句TYPE 和子类型定义语句SUBTYPE 实现。

如TYPE WEEK IS (SON,MON,TUE,WED,THU,FRI,SAT) SUBTYPE DIGITS INTEGER RANGE 0 TO 9

3.9 VHDL语言有哪几类操作符?在一个表达式中有多种操作符时应按怎样的准则进行运算?下列三个表达式是否等效:①A<=NOT B AND C OR D; ② A<=(NOT B AND C) OR D; ③ A<=NOT B AND (C OR D).

(1)主要有四种操作符逻辑运算符,关系运算符,算术运算符,符号运算符此外还有重载运算符。(2)按照操作符的优先级高低进行运算

(3)这三个表达式不等效。1式表达错误,对同一优先级的不同运算符应加上括号。2和3 式的运算顺序不同。

VHDL操作符优先级:(关系操作符的结果只有TRUE或FALSE两种。)

3.10 简述六种移位操作符SLL、SRL、SLA、SRA、ROL和ROR的含义及操作规定?并举例说明。

(1)SLL:逻辑左移,bit 或布尔一维数组

SRL:逻辑右移,bit 或布尔一维数组

SLA:算数左移,bit 或布尔一维数组

SRA:算数右移,bit 或布尔一维数组

ROL:逻辑循环左移,bit 或布尔一维数组

ROR:逻辑循环右移,bit 或布尔一维数组

(2)A= “10010101 "

A sll 2得"01010100" (逻辑左移,用'0'填补空位)

A srl 3得"00010010" (逻辑右移,用'0'填补空位)

A sla 3得"10101111" (算术左移,用最左端位填补空位)

A sra 2得"11100101" (算术右移,用最右端位填补空位)

A rol 3得"10101100" (循环左移)

A ror 5得"10101100" (循环右移)

3.11 什么叫重载操作符?使用重载操作符有什么好处?怎样使用重载操作符?含有重载操作符的运算怎样确定运算结果?

(1)对已存在的操作符重新定义,使其能进行不同类型操作数之间的运算。

(2)方便各种不同数据类型间的运算。

(3)引用数据包:STD_LOGIC_ARITH、STD_LOGIC_UNSIGNED和STD_LOGIC_即可使用重载操作符。

3.12 VHDL程序设计中的基本语句系列有几种?它们的特点如何?它们各使用在什么场所?它们各自包括些什么基本语句?

答:(1)顺序语句与并行语句。

(2)顺序语句的执行与它们的书写顺序基本一致(指仿真或逻辑上的顺序执行),但实际上硬件电路是并行工作的。顺序语句用在进程和子程序的内部。

并行语句的执行与书写顺序无关,各语句是同时执行的,在执行过程中各并行语句之间可通过信号进行通信,同步运行;也可以互为独立、互不相关,异步运行。

(3)顺序语句:变量/信号赋值语句,流程控制语句(if,case,loop,next,exit),等待语句,子程序调用语句,返回语句,空操作语句等。

并行语句:进程语句,块语句,信号赋值语句,元件例化语句,生成语句,子程序调用等语句。

3.13 VHDL中信号赋值和变量赋值的有什么区别?其赋值符号是否异同?

信号赋值延时更新数据,一般生成时序电路,用的是" <= "

变量赋值立即更新数据,一般生成组合电路,用的是":="

(变量具有局部特征,它的有效性只局限于所定义的一个进程中,或一个子程序中,它是一个局部的、暂时性数据对象,对于它的赋值是立即发生的。信号具有全局特征,它不但可以作为一个设计实体内部各单元之间数据传送的载体,而且可通过信号与其他的实体进行通信,信号的赋值不是立即发生的,它发生在一个进程结束时。)

3.14 段下标元素和集合块元素是怎样赋值的?试举例说明。

(1)段下标元素赋值目标表示形式:标识符(下标1 TO或DOWNTO 下标2)(其中下标1、下标2必须用具体数值表示,并且其数值范围必须在所定义的数组下标范围内)

例如:VARIABLE a,b:STD_LOGIC_VECTOR(1 TO 4)

b(1 TO 4):=”1011”;

a:=b;

a(1 TO 2):=b(3 TO 4); --a(1)=’1’,a(2)=’1’;

a(1 TO 4):=”1011”;

(2)集合块元素

3.15 转向控制语句有几种?它们各用在什么场所?它们使用时特别需要注意什么?

IF语句;CASE选择语句;LOOP循环语句;NEXT语句和EXIT语句

(1)if 条件表达式1 then 顺序语句;

elsif 条件表达式2 then 顺序语句;

else 条件表达式n then 顺序语句;

end if;

if语句可根据一个或多个布尔条件,有选择的执行指定的顺序语句。使用时应注意:1.关键字then后可包含一个或多个顺序语句。2.elsif子句可以有多个或没有,每个elsif子句执行时具有向前与的作用。3.else子句可以没有。4.关键字then后的顺序语句可以是if语句,即if语句可以嵌套。

(2)case选择表达式 is

when 选择值1 => 顺序语句;

when 选择值2 => 顺序语句; ......

end case;

case语句可根据一个表达式的不同取值执行不同的顺序语句。

使用时应注意:1.表达式的值可以是整型或枚举型的,或是这些数据类型构成的数组。2.选择值可以是单个取值,如4;也可以是一个取值范围,如2 to 5;也可以是多个并列的取值,如2|6;还可以是以上三种取值方式的混合。3.case语句执行时,根据选择表达式的值来选择执行哪个顺序语句,选择的结果和每个选择值的顺序无关,只要求对于选择表达式的每个可能取值,有且仅有一个选择值与之匹配即可。4.常用 when others表示其它未列出的选择值。5.“=> ”后面的顺序语句可以有多个。

(3)[标号:] for 循环变量 in 范围 loop [标号:] while 条件 loop

顺序语句; 顺序语句;

end loop [标号]; end loop [标号];

for循环用于循环次数已知的情况;while 循环用于循环次数未知的情况。使用时应注意:for 循环中的循环变量无需事先定义,可自动加/减1。

(4)next; 无条件中止当前循环,返回循环起点,开始下次循环。

exit; 无条件退出当前循环。

next loop标号; 无条件中止LOOP标号标明的循环,返回LOOP标号处,开始下次循环。

exit loop标号; 无条件退出LOOP标号标明的循环。

next loop标号 when 条件; 条件为真时中止LOOP标号标明的循环,返回LOOP标号处,开始下次循环。

exit loop标号when 条件; 条件为真时退出LOOP标号标明的循环。

3.16 在CASE 语句中在什么情况下可以不要WHEN OTHERS语句?在什么情况下一定要WHEN OTHERS语句?

答:case语句执行时,根据选择表达式的值来选择执行哪个顺序语句,要求对于选择表达式的每个可能取值,有且仅有一个选择值与之匹配。因此,当已列出的选择值能够覆盖选择表达式的所有可能取值时,可以不要when others语句。否则,要用 when others表示其它未列出的选择值。

3.17 FOR-LOOP语句应用于什么场合?循环变量怎样取值?是否需要事先在程序中定义?

在重复的次数已知的情况下可以使用该语句。循环变量的取值是根据系统的功能要求给定的,要事先在程序上定义。

3.18 分别用IF语句、CASE语句设计一个四—十六译码器。

a:用IF语句设计一个四-十六译码器

PROCESS(G1,g2a,g2b,sel)

begin

if(g1='1'and g2a='0'and g2b='0')then

if(sel="0000")then y<="1111111111111110";

elsif(sel="0001")then y<="1111111111111101";

elsif(sel="0010")then y<="1111111111111011";

elsif(sel="0011")then y<="1111111111110111";

elsif(sel="0100")then y<="1111111111101111";

elsif(sel="0101")then y<="1111111111011111";

elsif(sel="0110")then y<="1111111110111111";

elsif(sel="0111")then y<="1111111101111111";

elsif(sel="1000")then y<="1111111011111111";

elsif(sel="1001")then y<="1111110111111111";

elsif(sel="1010")then y<="1111101111111111";

elsif(sel="1011")then y<="1111011111111111";

elsif(sel="1100")then y<="1110111111111111";

elsif(sel="1101")then y<="1101111111111111";

elsif(sel="1110")then y<="1011111111111111";

elsif(sel="1111")then y<="0111111111111111";

else y<="XXXXXXXXXXXXXXXX";

end if;

else Y<="1111111111111111";

end if;

end process;

b:用CASE语句设计一个四-十六译码器

case sel is

when "0000"=>y<="1111111111111110";

when "0001"=>y<="1111111111111101";

when "0010"=>y<="1111111111111011";

when "0011"=>y<="1111111111110111";

when "0100"=>y<="1111111111101111";

when "0101"=>y<="1111111111011111";

when "0110"=>y<="1111111110111111";

when "0111"=>y<="1111111101111111";

when "1000"=>y<="1111111011111111";

when "1001"=>y<="1111110111111111";

when "1010"=>y<="1111101111111111";

when "1011"=>y<="1111011111111111";

when "1100"=>y<="1110111111111111";

when "1101"=>y<="1101111111111111";

when "1110"=>y<="1011111111111111";

when "1111"=>y<="0111111111111111";

when others =>y<="XXXXXXXXXXXXXXXX";

end case;

3.19 WAIT语句有几种书写格式?哪些格式可以进行逻辑综合?

wait until 结构wait on 结构其中wait until 结构可以进行逻辑组合

3.20 VHDL的预定义属性的作用是什么?哪些项目可以具有属性?常用的预定义属性有哪几类?

(1)作用在于可对信号或其他的项目多种属性进行检测或统计。

(2)具有属性的项目:类型,子类型,过程,函数,信号,变量,常量,实体,结构体,配置,程序包,元件,语句标号。

(3)常用的属性有信号类属性,数据区间类属性,数值类属性,数组属性

3.21 试用’EVENT 属性描述一种用时钟CLK 上升沿触发的D触发器及一种用时钟CLK下降沿触发的JK触发器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY dtrigger IS

PORT(clk: IN STD_LOGIC;

d : IN STD_LOGIC;

q : OUT STD_LOGIC);

END dtrigger;

ARCHITECTURE behave OF dtrigger IS

BEGIN

PROCESS(clk)

BEGIN

IF clk'EVENT AND clk='1' THEN

q:=d;

END IF;

END PROCESS;

END behave;

3.22 什么叫进程语句?你是如何理解进程语句的并行性和顺序性的双重特性的?

(1)进程实际上是顺序语句描述的一种进程过程,进程是用于描述事件的,process语句结构包含了一个代表实体中部分逻辑行为的独立的顺序语句描述的进程

(2)一个结构体中可以有多个并行进程结构,而有一个进程内部结构却是由一系列顺序语句来构成的,即进程语句间是并行执行的进程的内部是顺序执行的

(进程语句是一种描述硬件行为的语句,进程语句内部是由顺序语句构成的,内部执行时是顺序性的,但进程语句属于并行语句,多个进程之间的执行并行运行。)

3.23 进程的启动条件是什么?如果进程有两个基本点敏感变量,其中一个由“0”变“1”,等待一段时间以后再由“1”变“0”;而另一个只由“1”变“0”改变一次,请问该进程将执行几遍?

答:进程的启动条件:敏感信号列表中的任何一个敏感信号的值发生变化,或者wait语句中的条件为真。

3遍

3.24 有人说,进程中的语句顺序颠倒一下并不会改变所描述电路的功能,这种说法对吗?为什么?

不对。因为PLC的扫描过程是从左到右,从上到下进行的。同一进程中的逻辑描述语句是顺序运行的。

3.25 并行信号赋值语句有几类?比较其异同。

(1)1.简单信号赋值语句2.条件信号赋值语句3.选择信号赋值语句

(2)共同点:赋值目标都是信号,都是并行语句,都相当于一个隐含的进程语句(信号赋值语句中的所有读入信号都作为该进程的敏感信号)。

不同点:简单信号赋值语句是最简单的并行语句,执行时直接将表达式的值赋给信号。条件信号赋值语句的功能与if语句相同,在执行时按书写的先后顺序测试每个条件,一旦条件为真,则将表达式的值赋给信号。

选择信号赋值语句与case语句相似。当关键字with后的选择表达式的值发生变化时,就将该值和每个选择值进行比较,将选择值匹配的子句中的表达式的值赋给信号。

3.26 分别用条件信号赋值语句、选择信号赋值语句设计一个四—十六译码器。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DECODER IS

PORT(A, B, C,D:IN STD_LOGIC;

Y: OUT BIT_VECTOR (15 DOWNTO 0));

END ENTITY DECODER;

ARCHITECTURE ART1 OF DECODER IS

SIGNAL SR: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

SR<= D&C&B&A;

Y(0)<='1' WHEN SR="0000" ELSE '0';

Y(1)<='1' WHEN SR="0001" ELSE '0';

Y(2)<='1' WHEN SR="0010" ELSE '0';

Y(3)<='1' WHEN SR="0011" ELSE '0';

Y(4)<='1' WHEN SR="0100" ELSE '0';

Y(5)<='1' WHEN SR="0101" ELSE '0';

Y(6)<='1' WHEN SR="0110" ELSE '0';

Y(7)<='1' WHEN SR="0111" ELSE '0';

Y(8)<='1' WHEN SR="1000" ELSE '0';

Y(9)<='1' WHEN SR="1001" ELSE '0';

Y(10)<='1' WHEN SR="1010" ELSE '0';

Y(11)<='1' WHEN SR="1011" ELSE '0';

Y(12)<='1' WHEN SR="1100" ELSE '0';

Y(13)<='1' WHEN SR="1101" ELSE '0';

Y(14)<='1' WHEN SR="1110" ELSE '0';

Y(15)<='1' WHEN SR="1111" ELSE '0';

END ARCHITECTURE ART1;

ARCHITECTURE ART2 OF DECODER IS

SIGNAL SR: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

WITH SR SELECT

Y<= “0000_0000_0000_0001” WHEN“0000”,

“0000_0000_0000_0010”WHEN“0001”,

“0000_0000_0000_0100” WHEN “0010”,

“0000_0000_0000_1000” WHEN“0011”,

“0000_0000_0001_0000”WHEN“0100”,

“0000_0000_0010_0000”WHEN“0101”,

“0000_0000_0100_0000”WHEN“0110”,

“0000_0000_1000_0000”WHEN“0111”,

“0000_0001_0000_0000”WHEN“1000”,

“0000_0010_0000_0000”WHEN“1001”,

“0000_0100_0000_0000”WHEN“1010”,

“0000_1000_0000_0000”WHEN“1011”,

“0001_0000_0000_0000”WHEN“1100”,

“0010_0000_0000_0000”WHEN“1101”,

“0100_0000_0000_0000”WHEN“1110”,

“1000_0000_0000_0000”WHEN OTHERS; END ARCHITECTURE ART2;

3.27 进程语句和并行赋值语句之间有什么关系?进程之间的通信是通过什么

方式来实现的?

(2)进程之间的通信是通过传递信号和共享变量值来实现的

3.28 元件例化语句的作用是什么?元件例化语句包括几个组成部分?各自的语句形式如何?什么叫元件例化中的位置关联和名字关联?

答:(1)元件例化就是将预先设计好的设计实体定义为一个元件,然后利用特定的语句将此元件与当前的设计实体中的指定端口相连接,从而为当前设计实体引入一个新的低一级的设计层次。

(2)元件例化语句由两部组成,前一部分是将一个现成的设计实体定义为一个元件的语句,第二部分则是此元件与当前设计实体中的连接说明。

(3)它们的语句格式如下:

-----元件定义语句

COMPONENT 例化元件名IS

GENERIC(类属表);

PORT(例化元件端口名表);

END COMPONENT;

-----元件例化语句

元件例化名:例化元件名PORT MAP([例化元件端口名=>]连续实体端口名,...)

(4)名字关联是将例化元件的端口名与关联端口名通过关联(连续)符号“=>"一一对应地联系起来的方式;

位置关联是按例化元件端口定义顺序将例化元件的对应的连续实体端口名一一列出的方式。

3.38一个包集合由那两大部分组成?包集合通常包含哪些内容?

程序包首和程序包体

组成部分可以是USE语句、子程序定义、子程序体、数据类型说明子类型说明和常类说明3.29 什么叫子程序?过程语句用于什么场合?其所带参数是怎样定义的?函

数语句用于什么场合?其所带参数是怎样定义的?

(1)子程序是一个VHDL程序模块,这个模块利用顺序语句来定义和完成算法,因此只能使用顺序语句。VHDL子程序与其他软件语言程序中的子程序的应用目的是相似的,能更有效地完成重复性的工作。子程序有两种类型,即过程和函数

(2)过程语句主要用在子程序。过程语句与其他高级语句中的子程序相当,他的参数可以使输入也可以是输出,即过程中的输入输出参数都应列在紧跟过程名的括号中。

(3)函数语句相当于其他高级语言中的函数。语句中圆括号内所有参数都是输入参数或者输入信号。因此,在括号内指定端口方向“IN”可以省略。FUNCTION的输入值由调用者复制到输入参数中,若无特别制定在FUNCTION的语句中按常数处理

3.30 库由哪些部分组成?在VHDL语言中常见的有几种库?编程人员怎样使用现有的库?

(1)设计库由若干程序包组成,每个程序包都有一个包声明和一个可选的包体声明。在设计库中,包声明和包体声明是分别编译的。

(2)在VHDL语言中常见的由1.标准设计库:std,2.用户现行工作库:work,3.IEEE设计库:IEEE。

3.31 一个包集合由哪两大部分组成?包集合体通常包含哪些内容?

(1)程序包首和程序包体

(2)组成部分可以是USE语句、子程序定义、子程序体、数据类型说明子类型说明和常类说明

3.32 什么是结构体的行为描述方式?它应用于什么场合?用行为描述方式所编写的VHDL程序是否都可以进行逻辑综合?

(1)行为描述:设计实体按算法的路径进行描述,他不是对某一个器件的描述,而是对整个设计单元的数学模型描述。

(2)主要用于系统数学模型的仿真或系统工作原理的仿真。

(3)故其大量采用的算术运算、关系运算、惯性延时、传输延时等描述方式是难以或不能进行逻辑综合的。

3.33 什么叫数据流描述方式?它和行为描述方式的主要区别在哪里?用数据流描述方式所编写的VHDL程序是否都可以进行逻辑综合?

(1)数据流描述也称RTL描述,它以类似于寄存器传输级的方式描述数据的传输和变换,以规定设计中的各种寄存器形成为特征,然后再寄存器之间插入组合逻辑。

(2)行为描述只表示输入与输出间转换的行为,它不包含任何结构信息,而数据流的描述会伴有大量的有关这种结构信息的“流出”。

(3)类似于寄存器传输级的方式描述数据的传输和变换,认为数据是从一个设计流出,从输入到输出的观点称为数据流风格,所以不是所有的VHDL程序都可以进行逻辑综合。

3.34 什么是结构体的结构描述方式?实现结构描述方式的主要语句是哪两个?

(1)结构描述:是描述该设计单元的硬件结构,即该硬件是如何组成的。复杂数字逻辑系统的层次化设计法(设计中高层次的模块调用低层次的模块,或者直接用门电路设计单元直接设计一个复杂的逻辑电路)。

(2)采用元件例化语句或生成语句进行设计。

3.35 什么叫状态机?状态机的基本结构如何?状态机的种类有哪些?

答:(1)状态机是一类很重要的时序电路,是许多数字电路的核心部件。

(2)状态机的基本结构包括输入信号、输出信号和一组寄存器,它用于记忆状态机的内部庄涛。状态机可认为是组合逻辑和寄存器逻辑的特殊组合。它包括两个主要部分:

组合逻辑部分和寄存器部分。寄存器部分用于存储状态机的内部状态;组合逻辑部分又分为状态译码器和输出译码器。状态译码器确实状态机的下一个状态,即确定状态机的激励方程;输出译码器确定状态机的输出,即确定状态机的输出方程。

(3)两种典型的状态机是摩尔(MOORE)状态机和米立(MELAY)状态机。

3.36 阅读第

4.9节中的例3.9.2、例3.9.3、例3.9.5、例3.9.8、例3.9.9、例3.9.10、例3.9.13、例3.9.14、例3.9.15、例3.9.18、例3.9.20和例3.9.22,画出系统原理框图,阐述系统工作原理,并对主要语句作出注释。

1.3-8线译码器

下面我们分别以四种方法描述一个3-8线译码器。

【例3.9.2】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED_ALL;

ENTITY DECODER IS

PORT(INP:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

OUTP:OUT BIT_VECTOR (7 DOWNTO 0));END ENTITY DECODER;

方法1:使用SLL逻辑运算符

ARCHITECTURE ART1 OF DECODER IS

BEGIN

OUTP<= "00000001" SL (CONV_INTEGER(INP));END ARCHITECTURE ART1;

方法2:使用PROCESS语句

ARCHITECTURE ART2 OF DECODER IS

BEGIN

PROCESS(INP) IS

BEGIN

OUTP<=(OTHERS=>‘1’);

OUTP(COVN_INTEGER(INP))<=‘1’;

END PROCESS;

END ARCHITECTURE ART2;

方法3:使用WHEN-ELSE语句ARCHITECTURE ART3 OF DECODER IS

BEGIN

OUTP(0)<=‘1’WHEN INP="000" ELSE "0";

OUTP(1)<=‘1’WHEN INP="001" ELSE "0";

OUTP(2)<=‘1’WHEN INP="010" ELSE "0";

OUTP(3)<=‘1’WHEN INP="011" ELSE "0";

OUTP(4)<=‘1’WHEN INP="100" ELSE "0";

OUTP(5)<=‘1’WHEN INP="101" ELSE "0";

OUTP(6)<=‘1’WHEN INP="110" ELSE "0";

OUTP(7)<=‘1’WHEN INP="111" ELSE "0";END ARCHITECTURE ART3;

方法4:使用CASE-WHEN 语句ARCHITECTURE ART4 OF DECODER IS

BEGIN

CASE INP IS

WHEN "000"=>OUTP<= "00000001";

WHEN "001"=>OUTP<= "00000010";

WHEN "010"=>OUTP<= "00000100";

WHEN "011"=>OUTP<= "00001000";

WHEN "100"=>OUTP<= "00010000";

WHEN "101"=>OUTP<= "00100000";

WHEN "110"=>OUTP<= "01000000";

WHEN "111"=>OUTP<= "10000000";

WHEN OTHERS=>OUTP<= "XXXXXXXX";

END CASE;

END ARCHITECTURE ART4;

2.8-3线优先编码器

下面我们用三种方法设计8-3线优先编码器。

8-3线优先编码器,输入信号为A、B、C、D、E、F、G和H,输出信号为OUT0、OUT1和OUT2。输入信号中A的优先级别最低,依次类推,H的优先级别最高。【例3.9.3】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ENCODER IS

PORT (A,B,C,D,E,F,G,H:IN STD_LOGIC:

OUT0,OUT1,OUT2:OUT STD_LOGIC);

END ENTITY ENCODER;

方法1:使用条件赋值语句

ARCHITECTURE ART1 OF ENCODER IS

SIGNA OUTS:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

OUTS (2 DOWNTO 0)<= "111" WHEN H=‘1’ ELSE

"110" WHEN G=‘1’ ELSE

"101" WHEN F=‘1’ ELSE

"100" WHEN E=‘1’ ELSE

"011" WHEN D=‘1’ ELSE

"010" WHEN C=‘1’ ELSE

"001" WHEN B=‘1’ ELSE

"000" WHEN A=‘1’ ELSE

"XXX";

OUT0<=OUTS(0);

OUT1<=OUTS(1);

OUT2<=OUTS(2);

END ARCHITECTURE ART1;

方法2:使用LOOP语句

ARCHITECTURE ART2 OF ENCODER IS

BEGIN

PROCESS(A,B,C,D,E,F,G,H) IS

VARIABLE INPUTS:STD_LOGIC_VECTOR(7 DOWNTO 0);

VARIABLE I:INTEGER;

BEGIN

INPUT:=(H,G,F,E,D,C,B,A);

I:=7;

WHILE I>=0 AND INPUTS(I)/=‘1’ LOOP

--此处的“/=”表示不等于

I:=I-1;

END LOOP;

(OUT2,OUT1,OUT0)<=CONV_STD_LOGIC_VECTOR(I,3);

END PROCESS;

END ARCHITECTURE ART2;

方法3:使用IF语句

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ENCODER IS

PORT(IN1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

OUT1:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END ENTITY ENCODER;

ARCHITECTURE ART3 OF ENCODER IS

BEGIN

PROCESS(INT1) IS

BEGIN

IF IN1(7)=‘1’THEN OUT1<="111";

ELSIF IN1(6)=‘1’THEN OUT1<="110";

ELSIF IN1(5)=‘1’THEN OUT1<="101";

ELSIF IN1(4)=‘1’THEN OUT1<="100";

ELSIF IN1(3)=‘1’THEN OUT1<="011";

ELSIF IN1(2)=‘1’THEN OUT1<="010";

ELSIF IN1(1)=‘1’THEN OUT1<="001";

ELSIF IN1(0)=‘1’THEN OUT1<="000";

ELSE OUT1<="XXX";

END IF ;

END PROCESS;

END ARCHITECTURE ART3;

3.四选一选择器

选择器常用于信号的切换,四选一选择器可以用于四路信号的切换。四选一选择器有四个信号输入端INP(0)~INP(3),两个信号选择端A和B和一个信号输出端Y。当A、B输入不同的选择信号时,就可以使INP(0)~INP(3)中某个相应的输入信号与输出端Y接通。

【例3.9.5】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX41 IS

PORT (INP:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

A,B:IN STD_LOGIC;

Y:OUT STD_LOGIC);

END ENTITY MUX41;

ARCHITECTURE ART OF MUX41 IS

SIGNA SE :STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

SEL<=B&A ;

PROCESS(INP ,SEC) IS

BEGIN

IF(SEL="00")THEN Y<=INP(0);

ELSIF (SEL="01")THEN Y<=INP(1);

ELSIF (SEL="11")THEN Y<=INP(2);

ELSE Y<=INP(3);

END IF ;

END PROCESS ;

END ARCHITECTURE ART ;

4.三态门及总线缓冲器

三态门和总线缓冲器是驱动电路经常用到的器件。

2) 单向总线驱动器

在微型计算机的总线驱动中经常要用单向总线缓冲器,它通常由多个三态门组成,用来驱动地址总线和控制总线。一个8位的单向总线缓冲器。 DOUT[7..0]EN DIN[7..0]

EN

TRI_BUF8

DOUT[7..0]

DIN[7..0]

【例3.9.8】

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY TRI_BUF8 IS

PORT (DIN :IN STD_LOGIC_VECTOR(7 DOWNTO 0);

EN :IN STD_LOGIC ;

DOUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END ENTITY TRI_BUF8;

ARCHITECTURE ART OF TRI_BUF8 IS

BEGIN

PROCESS(EN ,DIN) IS

BEGIN

IF(EN=‘1’)THEN

DOUT<=DIN ;

ELSE DOUT<="ZZZZZZZZ";

END IF ;

END PROCESS ;

END ARCHITECTURE ART ;

3) 双向总线缓冲器

双向总线缓冲器用于数据总线的驱动和缓冲,典型的双向总线缓冲器。图中

的双向总线缓冲器有两个数据输入/输出端A 和B ,一个方向控制端DIR 和一个选通端EN 。EN=0时双向缓冲器选通。若DIR=0,则A=B ,反之则B=A 。 B[7..0]EN DIR A[7..0]

B[7..0]

A[7..0]DIR

EN

BIDIR

【例3.9.9】

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY BIDIR IS

PORT(A ,B :INOUTSTD_LOGIC_VECTOR(7 DOWNTO 0);

EN ,DIR :IN STD_STD_LOGIC);

END ENTITY BIDIR ;

ARCHITECTURE ART OF BIDIR IS

SIGNA AOUT ,BOUT : STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(A ,EN ,DIR) IS --A 为输入

BEGIN

IF((EN=‘0’)AND (DIR=‘1’))THEN BOUT<=A ;

ELSE BOUT<="ZZZZZZZZ";

END IF ;

B<=BOUT ; --B 为输出

END PROCESS ;

PROCESS(B ,EN ,DIR) IS --B 为输入

BEGIN

IF((EN=‘0’)AND (DIR=‘1’))THEN AOUT<=B ;

ELSE AOUT<="ZZZZZZZZ";

END IF ;

A<=AOUT ; --A 为输出

END PROCESS ;

END ARCHITECTURE ART ;

5. 时序逻辑电路设计

本节的时序电路设计主要有触发器、寄存器、计数器、序列信号发生器和序列信号检测器等的设计实例。

1.触发器

1) D 触发器

【例3.9.10】

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY DCFQ IS

PORT(D,CLK:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END ENTITY DCFQ;

ARCHITECTURE ART OF DCFQ IS

BEGIN

PROCESS(CLK) IS

BEGIN

IF (CLK'EVENT AND CLK=‘1’)THEN

-- 时钟上升沿触发Q<=D;

END IF;

END PROCESS;

END ARCHITECTURE ART;

4) JK触发器

【例3.9.13】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY JKCFQ IS

PORT(J,K,CLK:IN STD_LOGIC;

Q,QB:BUFFER STD_LOGIC);

END ENTITY JKCFQ;

ARCHITECTURE ART OF JKCFQ IS

SIGNA Q_S,QB_S:STD_LOGIC;

BEGIN

PROCESS(CLK,J,K) IS

BEGIN

IF (CLK'EVENT AND CLK=‘1’)THEN

IF(J=‘0’ AND K=‘1’) THEN

Q_S<=‘0’;

QB_S<=‘1’;

ELSIF (J=‘1’ AND K=‘0’) THEN

Q_S<=‘1’;

QB_S<=‘0’;

ELSIF (J=‘1’ AND K=‘1’) THEN

Q_S<=NOT Q_S;

QB_S<=NOT QB_S;

END IF;

END IF ;

Q<=Q_S;

QB<=QB_S;

END PROCESS;

END ARCHITECTURE ART;

6. 触发器的同步和非同步复位

触发器的初始状态应由复位信号来设置。按复位信号对触发器复位的操作不同,可以分为同步复位和非同步复位两种。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,触发器才被复位;非同步复位,也称异步复位,则是当复位信号有效时,触发器就被复位,不用等待时钟边沿信号。下面以D 触发器为例分别予以举例。

1) 非同步复位/置位的D触发器

【例3.9.14】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ASYNDCFQ IS

PORT(CLK,D,PRESET,CLR:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END ENTITY ASYNDCFQ;

ARCHITECTURE ART OF ASYNDCFQ IS

BEGIN

PROCESS(CLK,PRESET,CLR) IS

BEGIN

IF(PRESET='1')THEN --置位信号为1,则触发器被置位

Q<='1';

ELSIF(CLR='1')THEN --复位信号为1,则触发器被复位

Q<='0';

ELSIF(CLK'EVENT AND CLK=‘1’)THEN

Q<=D;

END IF;

END PROCESS;

END ARCHITECTURE ART;

2) 同步复位的D触发器

【例3.9.15】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SYNDCFQ IS

PORT(D,CLK,RESET:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END ENTITY SYNDCFQ;

ARCHITECTURE ART OF SYNDCFQ IS

BEGIN

PROCESS(CLK) IS

BEGIN

IF(CLK'EVENT AND CLK=‘1’)THEN

IF(PRESET=‘0’)THEN

Q<=‘0’;--时钟边沿到来且有复位信号,触发器被复位

ELSE Q<=D;

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

7. 计数器

计数器是在数字系统中使用最多的时序电路,它不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。

1) 同步计数器

下面是一个模为60,具有异步复位、同步置数功能的8421BCD码计数器。【例3.9.18】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNTM60 IS

PORT(CI:IN STD_LOGIC;--计数控制

NRESET:IN STD_LOGIC;--异步复位控制

LOAD:IN STD_LOGIC;--置数控制

D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CLK:IN STD_LOGIC;

CO:OUT STD_LOGIC;--进位输出

QH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);--输出高4位

QL:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));--输出低4位

END ENTITY CNTM60;

ARCHITECTURE ART OF CNTM60 IS

BEGIN

CO<=‘1’WHEN(QH="0101"AND QL="1001"AND CI=‘1’)ELSE'0';

--进位输出的产生

PROCESS(CLK,NRESET) IS

BEGIN

IF(NRESET=‘0’)THEN --异步复位

QH<="0000";

QL<="0000";

ELSIF(CLK'EVENT AND CLK=‘1’)THEN --同步置数

IF(LOAD=‘1’)T HEN

QH<=D(7 DOWNTO 4);

Q L<=D(3 DOWNTO 0);

ELSIF(CI=‘1’)THEN --模60的实现

IF(QL=9)THEN

QL<="0000";

IF(QH=5)THEN

QH<="0000";

ELSE --计数功能的实现

QH<=QH+1;

END IF;

VHDL试卷

VHDL试卷

2009/2010 学年第一学期末考试试题答案及评分标准 (A卷) 一、填空题(20分,每空格1分) 1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),配置(configuration),包集合(package)和库(library) 5各部分。 2、在一个实体的端口方向说明时,输入使用in 表示,那么构造体内部不能再使用的输出是用out 表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用 buffer 表示; 3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS结构。 4、VHDL的客体,或称数据对象包括了常数、变 量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量。 6、设D0为'0', D1为'0', D2为'1', D3为'0', D0 & D1 & D2 & D3的运算结果是“0010”, D3 & D2 & D1 & D0的运算结果是“0100”。 7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式。 20分,每小题5分,判断对错2分,给出正确答案3分) 1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。 (×) 传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法 2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体(√) 1

口腔护理学试题(考试重要资料)

口腔护理学重点复习题A卷(22章) 一、选择题 1、关于口腔颌面部的功能,下面那一项是错误的 A、具有摄食和消化功能 B、具有语言功能 C、具有感光功能 D、具有日常的呼吸功能 E、具有表情功能 2、前牙是指 A、切牙 B、尖牙 C、第一前磨牙 D、切牙和尖牙 E、以上都不是 3、74是指 A、右上第一乳磨牙 B、左上第一乳磨牙 C、右下第一乳磨牙 D、左下第一乳磨牙 E、以上都不对 4、关于牙齿萌出的描述,下面哪种说法是错误的

A、一般情况下,幼儿半岁萌出第一颗乳牙 B、一般情况下,乳牙在2.5—3岁萌出完成 C、一般情况下,儿童在6岁左右萌出第一颗恒牙 D、一般情况下,儿童在12—13岁,除第三磨牙外,恒牙全部萌出 E、以上都不是 二、填空题 1、涎腺包括、颌下腺、舌下腺。 2、颊粘膜偏后区域,有时可见粘膜下有颗粒状黄色斑点,称为,无临床意义。 3、固有口腔是指,上为硬腭和软腭,下为和口底,前界和两侧界为上下牙弓,后界为咽门。 B卷(22章) 一、选择题 1、在腮腺病人的口腔护理中应注意腮腺导管口,其开口位置在平对_牙冠的颊粘膜上,呈乳头状突起。 A、上颌第一磨牙 B、上颌第二磨牙 C、上颌第三磨牙 D、上颌第一前磨牙 E、上颌第二前磨牙

-* 2、后牙是指 A、前磨牙 B、第一磨牙 C、第二磨牙 D、前磨牙和磨牙 E、以上都不是 3、12是指 A、右上侧切牙 B、左上侧切牙 C、右下侧切牙 D、左下侧切牙 E、以上都不是 4、牙齿软组织是指 A、牙周膜 B、牙龈 C、牙髓 D、牙周组织 E、以上都不是 二、填空题 1、唇粘膜下有许多小粘液腺,其导管受阻时容易形成。 2、乳牙萌出完成后,其总数应为 颗。

完整word版,VHDL期末考试题

1.结构体的三种描述方式:-行为描述-数据流描述-结构化描述 2.一般将一个完整的VHDL程序称为设计实体 3. VHDL设计实体的基本结构由库、程序包、实体、结构体和配置组成。 4.VHDL不区分大小写。 5.常用的库:library ieee ,程序包:use ieee.std_logic_1164.all 6.VHDL程序的基本结构至少应包括实体、结构体和对库的引用声明。 7.在VHDL程序中使用的文字、数据对象、数据类型都需要事先声明。 8. VHDL的实体由实体声明和结构体组成。VHDL的实体声明部分指定了设计单元的输入出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。VHDL的结构体用来描述实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。 9.端口方向模式:IN(输入)、OUT(输出<构造体内部不能再使用>)、INOUT(双向)、BUFFER (缓冲<构造体内部可再使用>) 10.VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线),不能连续两个下划线相连。 11. 为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=) 12. VHDL的数据类型包括标量类型、复合类型、存储类型和文件类型 请列出3个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量,整数,字符串,时间,错误等级,自然数,正整数。 13. VHDL的操作符包括逻辑、算术、关系和并置四类 14.、GAL、PLA、PAL(早期) :基于乘机项技术构造的可编程逻辑器件,不需要配置外部 程序寄存芯片 FPGA(现场可编程门阵列):基于查找表技术构造的可编程逻辑器件,需要配置外部程序寄 存芯片 15.VHDL客体或数据对象:常量、信号、变量(可被多次赋值)、文件。 16.一个VHDL程序中可以使用多个进程process语句,一个设计实体可以拥有多个结构体。 17.VHDL的预算操作包括:逻辑运算符、关系运算符、乘法运算符(优先级<<<) 逻辑运算符、关系运算符、加减并置运算符、正负运算符、乘法运算符、 18.VHDL中std_logic类型:‘Z’表示高阻,‘X’表示不确定 19.将一个信width定义为一个4位标准逻辑向量为:signal width :std_logic_vector(3 downto 0) 定义一个变量a,数据类型为4位位向量:variable a :bit_vector(3 downto 0) 20.赋值语句是并行执行,IF语句是串行执行。 21.标准逻辑是一个具有九值逻辑的数据类型 22.表示‘0’‘1’两值逻辑的数据类型是bit,表示‘0’‘1’‘Z’等九值逻辑的数据类型是std_logic ,表示空操作的数据类型是NULL 23.<=是小于等于关系运算符,又是赋值运算操作符 /=是不相等操作符,功能是在条件判断是判断操作符两端不相等。 NOT是逻辑运算符,表示取反,在所有操作符中优先级最高。 30.并置运算符 & 的功能是把多个位或位向量合并为一个位向量。 24.位类型的初始化采用字符,位矢量用字符串 25.进程必须位于结构体内部,变量必须定义于进程内部 26.进程执行的机制是敏感信号发生跳变 27. VHDL语言可以有以下3种形式的子结构描述语句: BLOCK语句结构; PROCESS语句结

《口腔内科学》试题库

第一章绪论 一、名词解释 1. 口腔内科学 二、填空题 1. 口腔内科学包括__________、__________、__________、__________ __________、__________、__________。 2、口腔内科学重点研究两大口腔疾病:__________和__________。 3、口腔内科学与__________、__________及其它口腔专业课均有密切的关系。 4、我国古代医书记载口腔保健方法很多,如__________、__________、__________等。 四、简答题 1.口腔内科学的内容包括哪些? 2. 口腔内科学重点研究的口腔病有哪些? 五、论述题及病例分析 1. 举例说明口腔内科学研究的内容有哪些? 参考答案 一、名词解释 1.是口腔医学主要专业课程之一,包括龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等;主要研究上述疾病的病因、临床病理、临床表现、诊断、治疗和预防。 二、填空题 1.龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病、口腔黏膜病 2. 龋病、牙周病 3. 口腔外科学、口腔修复学 4. 鼓漱、叩齿、睡前刷牙 四、简答题 1.主要研究龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等疾病的病因、临床病理、临床表现、诊断、治疗和预防。 2. 有龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病。 五、论述题 1.口腔内科学主要研究龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等疾病的病因、临床病理、临床表现、诊断、治疗和预防。如龋病的病因为“四联因素学说”,即包括细菌、食物、宿主和时间;龋病的临床病理本质是无机物脱矿和有机物分解;龋病的临床表现为发生牙体硬组织的色、形、质三方面的病变;龋病的临床诊断分为浅龋、中龋和深龋;龋病的治疗主要是充填修复治疗;龋病的预防主要是采用三级预防理念。 (王晓玲)

中医诊断学试题及答案DOC-共24页

中医诊断学试题及答案 第一部分(客观题共15分) 一、判断题(判断下列各小题,对的用“+”,错的用“-”,填在题后的括号内;每题1分,共15分) 1、望神,就是诊察患者精神意识活动,以了解病情轻重,推测预后的吉凶。() 2、面、目、身俱黄且黄色晦暗如烟熏者,为阴黄。() 3、外感热病中,斑疹色淡红或淡紫者,提示病情轻浅,预后较好。() 4、一般地说,察舌质,重在辨病邪的浅深与胃气的存亡;察舌苔,重在辨脏腑的虚实。( ) 5、神志不清,语言重复,声音低弱,时断时续者,为郑声。 () 6、在疾病过程中出现口渴,均提示热盛伤津。() 7、在四时脉象中,春季多见浮脉。() 8、“反关脉”与“斜飞脉”,都是比较少见的病脉。()9、“阳盛则热”,热为阳证。故凡发热者均为热证、阳证()10、虚实辨证,是分析辨别邪正盛衰的两个纲领。()11、就人体部位而言,皮毛、肌肉属表。故凡病位浅在肌表的病证,均属表证。() 12、亡阳证的汗出大多粘而味xx。() 13、足少阳胆经入耳中,肝胆相为表里。故耳内肿痛、流脓,多因肝阳上亢所致。() 14、心肾不交证的病机主要在于命火不足,不能上温心阳。 ( 15、心脾两虚证的实质是心脾两脏气血不足而表现的虚弱证候。()

二、单项选择题(选择一个正确答案,并将其序号填在题后的括号内;每题1分,共22分) 16、下列既可见于热证,又可见于寒证的舌象是() A、红舌 B、绛舌 C、淡白舌 D、紫舌 17、久病舌xx,多见于() A、热邪壅肺 B、胃热亢盛 C、肝胆火盛 D、阴虚内热 18、右手寸口脉关部分属脏腑是() A、肺 B、肝胆 C、脾胃 D、肾 19、气血本虚,又为湿邪所困的患者,多见()A、迟脉B、弱脉C、濡脉D、微脉 20、滑数脉多见于() A、痰热内蕴证 B、肝阳上亢证 C、肝气郁结证 D、阴虚内热证 21、根据经络的分布,分辨头痛的经络病位,头项痛者多属() A、阳明经 B、太阳经 C、少阳经 D、厥阴经22、患者面赤身热,口渴饮冷,烦躁不宁,尿黄便干,舌红苔黄,脉数。此属() A、表热证 B、里实热证 C、里虚热证 D、戴阳证23、里虚寒证出现畏寒肢冷的病机是()A、寒邪束表,卫气失宣B、阳虚失于温煦C、阴寒内盛,阳气被郁D、以上都不是 24、饮停胸胁,症见胸胁饱满,咳嗽时牵引作痛。 此属() A、痰饮 B、支饮 C、悬饮 D、溢饮 25、患者身倦乏力,少气懒言,胁痛如刺,拒按,舌淡有紫斑,脉沉涩。此属()

牙周病学题库供参考

牙周病学 A1 型题 1.关于牙周病患者的全身病史,哪一项不准确? A.牙周治疗必须在全身疾病控制下才能进行 B.有助牙周病病因的全面分析 C.全身疾病改变对治疗的反应 D.提醒医生对特殊病人采取特殊治疗 E. 全身疾病改变牙周组织对局部刺激的反应 2.关于牙周病史,哪一项不正确? A.就诊的原因及目的 B.过去的牙周治疗史 C.口腔卫生习惯 D.是否有夜磨牙史,血液病史 E.发病时间与主要症状 3. 关于牙周现病史,哪一项不正确?A.通过问诊收集病史 B.发病的时间、过程及可能的诱因 C.牙龈出血、牙周脓肿出现的情况 D.吸烟史及家族史 E.口腔卫生的情况 4.全身病史的内容,除了, A. 传染病史 B. 糖尿病 C. 个人史 D. 药物过敏史 E. 癫痫病史 5. 2.X 线片具有局限性,除了 A. 拍X 线片费时及费用较高 B. 不能显示软组织袋 C. 不能精确反映邻间骨缺损的形态 D. 不能准确反映颊、舌侧骨高度 E. X 线片显示的骨破坏比实际破坏轻 F. 6.正常牙龈的最佳描述是 A. 颜色是粉红色 B. 颜色是鲜红色 C. 颜色是暗红色 D. 颜色是暗紫色 E. 以上均可能 7.牙龈的临床特征,哪一项不正确? A. 牙龈颜色的变化可呈局部或全口腔 B. 皮肤黑者,正常牙龈可见色素沉着-1 0 0- C. 正常的牙龈边缘通常较钝厚 D. 炎症和创伤可引起牙龈外形的改变 E. 炎症弥漫指已波及到附着龈 8.关于龈组织变化,哪一项不正确? A. 健康牙龈坚实而有弹性 B. 正常附着龈可有点彩或无点彩 C. 龈裂是牙龈炎症的表现 D. 慢性炎症导致结缔组织纤维和细胞的增加 E. 牙龈肥大意味着牙周袋的形成 9.慢性牙龈炎症的体征,除了 A. 溢脓 B. 出血 C. 颜色的改变 D. 疼痛 E. 牙龈红肿 10.牙周袋的最佳解释是 A. PD >3 ㎜ B. PD>5 ㎜ C. PD>3 ㎜,未见上皮附着向根方增殖只与21.X 线片的读片内容为 D. 冠根比例 E. 牙周膜的宽度 F. 不良充填体 G. 牙槽骨的破坏形式 H. 以上均是 21.X 线片的读片内容为 I. 冠根比例 J. 牙周膜的宽度 K. 不良充填体 L. 牙槽骨的破坏形式 M. 以上均是 N. 上皮附着向根方增殖有关 O. 与骨丧失有关,上皮附着可正常 11.牙周探诊最重要的诊断意义是 A. 附着丧失比袋深更有意义 B. 袋越深,表明牙周病越重 C. 牙周病的程度与龈缘的位置有关 D. 牙龈出血是牙龈炎症的表现 E. 袋内溢脓是牙周炎症加重的体征 12.牙周探诊的最佳力量是 A. 10~20g

杭州电子科技大学2005年EDA技术与VHDL考试试题B卷

杭州电子科技大学2005年EDA技术与VHDL考试试题B卷 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是_______。 A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。 A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现________。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路

牙周病学重点

第一章绪论 Periodontology 主要是研究牙周组织的结构、生理和病理的学科;Periodontics 研究牙周病的诊断、治疗和预防的临床学科 是指发生于牙周支持组织的各种疾病。包括牙龈病gingival diseases和牙周炎periodontitis。牙龈病是指只发生在牙龈组织的疾病,而牙周炎则累及四种牙周支持组织的炎症性、破坏性疾病。 第二章牙周组织的应用解剖和生理 (1)牙龈:包括游离龈、附着龈和龈乳头 牙完全萌出后,龈沟底部位于釉牙骨质界。健康龈沟组织学深度平均为1.8mm 称为牙周探诊深度。正常不超过3mm 40%成人的附着龈表面有橘皮样的点状凹陷,称为点彩。点彩是功能强化或功能适应性改变的表现,它是健康牙龈的特征。牙龈有炎症时,点彩减少或消失。 合。它的位置在一生中基本是恒定的。牵拉唇颊,观察黏膜的移动度,可确定膜龈联合的位置。 范围为1-9mm。前牙唇侧最宽,后牙较窄,由于颊系带的附着多位于第一前磨牙区,故该区的附着龈宽度最窄。 谷。该处上皮无角化、无钉突,对局部刺激物的抵抗力较低,牙周病易始发于此。

长度约0.25-1.35mm亦称上皮性附着(epithelial attachment)。 生物学宽度biological width——指龈沟底到牙槽嵴顶之间约2mm的恒定距离。它包括结合上皮(0.97mm)及结合上皮根方和牙槽嵴顶之间的纤维结缔组织(1.07mm)。当牙主动萌出或用人工牵引使牙继续萌出时,牙槽嵴顶随着增高;当将牙压入牙槽窝时,牙槽嵴亦随之发生吸收。 良好地封闭了软硬组织交界处。由于结合上皮无角化,无上皮钉突,细胞间隙大,桥粒较少,细胞联系较松弛,上皮的通透性较高,因此较易被机械力所穿透或撕裂。它是牙周病的始发部位。 故将结合上皮及邻近的牙龈纤维视为一个功能单位,称之为龈牙单位。 牙龈上皮包括口腔上皮、沟内上皮和结合上皮。牙龈上皮更新时间为10-12天,腭、舌和颊部为5-6天,结合上皮为1-6天。牙龈组织无黏膜下层。牙龈纤维包括龈牙纤维(最多)、牙骨膜纤维、环行纤维、越隔纤维(仅见于牙邻面)。 (2)牙周膜:牙周膜最重要的成分是胶原构成的主纤维,主纤维主要由I型胶原纤维和耐酸水解性纤维组成。主纤维埋入牙骨质和牙槽骨得部分称为Sharpey纤维。●牙槽嵴纤维(将牙向牙槽窝内牵引,并对抗侧方力)●横纤维(防止牙侧方移动)●斜纤维(数量最多,力量最强,将咀嚼压力转变为牵引力)●根尖纤维(固定根尖、保护进出根尖孔的血管和神经的作用)●根间纤维(只存在于多根牙各根之间,有防止多根牙向冠方移动的作用)当牙承受垂直压力时,除根尖纤维外,几乎全部纤维呈紧张状态,并将此力传递至牙槽骨,可承担较大咬合力。单根牙在受到侧向压力时,以位于牙根的中1/3与根尖1/3交界处的转动中心为支点,发生倾斜,仅使部分纤维呈紧张状态,这时容易造成牙周膜和牙槽嵴

完整版汇编语言试题及答案..doc

一,单项选择题 (每小题 1 分,共 20 分 1-10CCCCAADACB 11-20.ADBBAADDCC 1.指令 JMP FAR PTR DONE 属于 ( C A.段内转移直接寻址 B.段内转移间接寻址 C.段间转移直接寻址 D.段间转移间接寻址 2.下列叙述正确的是 ( A.对两个无符号数进行比较采用CMP 指令 ,对两个有符号数比较用CMP S 指令 B.对两个无符号数进行比较采用CMPS 指令 ,对两个有符号数比较用CM P 指令 C.对无符号数条件转移采用JAE/JNB 指令 ,对有符号数条件转移用JGE/J NL 指令 D.对无符号数条件转移采用JGE/JNL 指令 ,对有符号数条件转移用JAE/J NB 指令 3.一个有 128 个字的数据区 ,它的起始地址为 12ABH:00ABH, 请给出这个数据区最末一个字单元的物理地址是 ( A.12CSBH B.12B6BH

C.12C59H D.12BFEH 4.在下列指令的表示中 ,不正确的是 ( A.MOV AL,[BX+SI] B.JMP SHORT DONI C.DEC [BX] D.MUL CL 5.在进行二重循环程序设计时,下列描述正确的是 ( A.外循环初值应置外循环之外;内循环初值应置内循环之外,外循环之内 B.外循环初值应置外循环之内;内循环初值应置内循环之内 C.内、外循环初值都应置外循环之外 D.内、外循环初值都应置内循环之外,外循环之内 6.条件转移指令 JNE 的测试条件为 ( A.ZF=0 B.CF=0 C.ZF=1 D.CF=1 7.8086CPU在基址加变址的寻址方式中,变址寄存器可以为 ( A.BX 或 CX

VHDL试题

一. 选择填空(每题4分,共40分) 1..MAX7000结构中包含五个主要部分,即逻辑阵列块、宏单元、扩展乘积项(共享和并联)、可编程连线阵列、I/O控制块。 2.EDA的设计输入主要包括(原理图输入)、状态图输入、波形图输入和HDL 文本输入。 3. 当前最流行的并成为IEEE标准的硬件描述语言包括具 VHDL 和 Verilog 。 4. 常用EDA工具大致可分为设计输入编辑器、HDL综合器、仿真器、适配器和下载器 5个模块。 5. CPLD结构特点为以乘积项结构方式构成逻辑行为; FPGA结构特点为以查表法结构方式构成逻辑行为。 7. VHDL中最常用的库是 IEEE 标准库,最常用的程序包 是 STD-LOGIC-1164 程序包。常用的四种库是IEEE库、STD库、WORK库及VITAL库。IEEE库是VHDL设计中最常用的库,它包含有IEEE标准的程序包和其他一些支持工业标准的程序包。 8. VHDL程序的基本结构 9. 基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试。 10. 源文件保存时,建议文件名尽可能与该程序的实体名保持一致。 11. 资源优化可以分为资源共享,逻辑优化、串行化。速度优化分为流水线设计,寄存器配平和关键路径法。 12. 三类数据对象:变量、常量和信号。 13. 在VHDL中有逻辑操作符、关系操作符、算术操作符和符号操作符四类操作符,如果逻辑操作符左边和右边值的类型为数组,则这两个数组的尺寸,即位宽要相等。在一个表达式中有两个以上的算符时,需要使用括号将这些运算分组。如果一串运算中的算符相同,且是AND、OR、XOR这三个算符中的一种,则不需要使用括号。 14. 时序电路产生的条件:利用不完整的条件语句的描述。 15. 结构体中的可综合的并行语句主要有七种:并行信号赋值、进程、块语句、条件信号语句、元件例化语句、生成语句和并行过程调用语句。顺序语句有赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句和空操作语句。16. 顺序语句只能出现在进程中,子程序包括函数和过程。并行语句不放在进程中。 17. 进程本身是并行语句,但其内部是顺序语句 2、本质区别: 18. P247—248自己解决去! 19. P248标志符的命名规则 20. 三种主要的状态编码为:状态位直接输出型号编码、一位热码编码和顺序编码。特点P215 21. 非法状态的产生原因:1、外界不确定的干扰2、随机上电的初始启动 22. VHDL要求赋值符“<=”两边的信号的数据类型必须一致。 23. 综合的概念:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

牙周试题1

牙周试题一 一、A1 1、牙槽骨水平吸收时形成的牙周袋通常为 A.骨上袋 B.骨内袋 C.复杂袋 D.1壁骨袋 E.4壁骨袋 【正确答案】:A 【答案解析】:牙槽骨吸收破坏方式可表现为如下几种形式: (一)水平型吸收水平型吸收是最常见的吸收方式。牙槽间隔,唇颊侧或舌侧的嵴顶边缘呈水平吸收,而使牙槽嵴高度降低,通常形成骨上袋。 (二)垂直型吸收垂直型吸收也称角形吸收,指牙槽骨发生垂直方向或斜行的吸收,与牙根面之间形成一定角度的骨缺损,牙槽嵴的高度降低不多(除非伴有水平吸收),而牙根周围的骨吸收较多。多形成骨下袋。 骨下袋根据骨质破坏后剩余的骨壁数目,可分为下列几种:一壁骨袋,二壁骨袋,三壁骨袋,四壁骨袋,混合骨袋。 【该题针对“牙周袋”知识点进行考核】 2、关于龈沟液以下不正确的是 A.牙龈健康者极少有龈沟液 B.炎症时龈沟液明显增多 C.其主要成分与血清相似 D.龈沟液中有免疫球蛋白具有抗特异性致病菌的作用 E.龈沟液中无白细胞等防御细胞 【正确答案】:E 【答案解析】:龈沟液指通过龈沟内上皮和结合上皮从牙龈结缔组织渗入到龈沟内的液体。龈沟液的液体成分主要来源于血清,其他成分则分别来自血清、邻近的牙周组织(上皮、结缔组织)及细菌。内容包括补体-抗体系统成分、各种电解质、蛋白质、葡萄糖、酶等,也含有白细胞(主要为通过龈沟上皮迁移而出的中性粒细胞)、脱落的上皮细胞等。 【该题针对“第一单元单元测试”知识点进行考核】 3、用钝头牙周探针探测牙周炎患牙的炎症牙龈时,探针终止于 A.龈沟底 B.进入结合上皮1/2~1/3处 C.穿透结合上皮,终止于正常结缔组织的冠方 D.终止于正常结缔组织纤维内 E.终止于结合上皮的冠方 【正确答案】:C 【答案解析】:探诊深度(PD):指龈缘至袋底或龈沟底的距离。以mm为单位记录。健康牙龈的龈沟探诊深度不超过2~3mm,在健康状态下探针可进入结合上皮;有炎症时探针会超过结合上皮。进入炎症区达健康结缔组织冠方。经治疗后,结缔组织中炎症细胞浸润消

试题及答案

01、整个需求曲线向左下方移动,其原因是(B )……….B.需求减少 02、当汽油的价格上升时,在其他条件不变的情况下,对小汽车的需求量将(A )…….A.减少 03、下列商品的需求价格弹性最小的是(C )………C.食盐 04、商品的边际效用随者商品消费量的增加而(B )B.递减 05、根据无差异曲线分析,消费者均衡是(A )…….A.无差异曲线与消费可能线的相切之点 06、当边际产量大于平均产量时,平均产量(C )…….. C.递增 07、等产量曲线向左下方移动表明的是(B )………..B.产量减少 08、短期平均成本曲线呈U型,是因为(D )…………D.边际收益递减规律 10、长期平均成本曲线与长期边际成本曲线一定是(D )………..D.相交于平均成本曲线的最低点 11、下列筹资方式中,体现债权关系的是(C )………….C 发行债券 12、计算流动比率,速动比率,现金比率这三个财务碧绿时,都需要利用的指标是(C )…C 货币资产 C 利息 15、下列属于股股东所拥有的权利是(B )……………B 优先受让和认购新股全 18、企业由于现金持有量不足,造成企业信用危机而给企业带来的损失,属于现金的(现金短缺) 19、在下列各项中,属于应收账款机会成本的是( B )……………...B 应收账款占用资金的应计利息 20、企业最为合理的财务管理目标是( D )……………………D 企业价值最大化 21、政府为了扶值农产品,规定了高于均衡价格的支持价格。为此政府应采取的措施是( C )……C.收购过剩的农产品 22、某消费者逐渐增加某种商品的消费量,直到达到了效用最大化,在这个过程中,该商品的( C )。… ………………………………….......................................C总效用不断增加,边际效用不断下降 23、假定某企业全部成本函数为TC=30000+SQ-Q。,Q为产出数量。那AFC为( D ) …….D.30000/Q 24、当劳动的总产量下降时,( D )。…………………D.边际产量为负 25、在完全竞争条件下,平均收益与边际收益的关系是( C )。………………C.相等 26、生产要素的需求曲线之所以向右下方倾斜,是因为( A )。………A.要素的边际产品价值递减 27、随着工资水平的提高( C )。…C.劳动的供给量先增加,…..,劳动的供给不仅不会增加反而减少 28、卖主比买主知道更多关于商品的信息,这种情况被称为( A )。……………….A.信息不对称问题 29、根据储蓄函数,引起储蓄增加的因素是( A )。…………………A.收入增加 30、居民消费不取决于现期收人的绝对水平,也不取决于现期收入和以前最高收人的关系,而是取决于居民的持久收入, 这种观点的提出者是( B )。……………..B.弗里德曼 31、假定货币供给量不变,货币的交易需求和预防需求增加将导致货币的投机需求( C )………..C.减少 32、总需求曲线AD是一条( A )。…………………….A.向右下方倾斜的曲线 33、奥肯定理说明了( A )。…………………….A.失业率和总产出之间高度负相关的关系 34、要实施扩张型的财政政策,可采取的措施有( C )。……………….C.增加财政转移支付 35、货币贬值使该国国际收支状况好转时( A )。…………………….A.| e。+e。|>l 36、需求曲线是一条倾斜的曲线,其倾斜的方向为……………….(A右下方) 37、下列体现了需求规律的是…….(D照相机价格下降,导致销售量增加) 38、其他因素保持不变,只是某种商品的价格下降,将产生什么样的结果…….(C.需求量增加) 39、鸡蛋的供给量增加是指供给量由于…………(C.鸡蛋的价格提高而引起的增加) 40、无差异曲线为斜率不变的直线时,表示相结合的两种商品是………………(B.完全替代的) 01、资源配置要解决的问题是(ABC )……………..A.生产什么B.如何生产 C.为谁生产 02、影响需求弹性的因素有(ABCDE )..…A.消费者对某种商品的需求程度B.商品的可替代程度 C.商品本身用途的广泛性D.商品使用时间的长短 E.商品在家庭支出中所占的比例 03、引起内在经济的原因有(ACE )………..A.使用更先进的技术C.综合利用E.管理水平提高 04、通货膨胀理论包括(ABCD )…….A.需求技上的通货膨胀理论B..供给推动的通货膨胀理论 C.供求混合推动的通货膨胀理论D.结构性通货膨胀理论 05、经济周期繁荣阶段的特征是(ABCD )..A.生产迅速增加B.投资增加C 信用扩张D.价格水平上升 06、边际技术替代率( AC ) …………………………A.是在产出量保持不变的前提下,增加最后一个单位投入要素替代 另一种投入要素的技术上的比率C.是负的,并且呈递减趋势 07、按竞争与垄断的程度,我们将市场分为( ABCD ) A.完全垄断市场B.垄断竞争市场C.寡头垄断市场D.完全竞争市场 08、形成市场失灵的主要原因有( ABDE ) ……… A.垄断B.不完全信息D.外部性E.公共物品 09、在以价格为纵坐标,收人为横坐标的坐标系中( CE )…………………………………………………. …………C.垂直的直线被称为长期总供给曲线E.向右上方倾斜的曲线被称为短期总供给曲线

VHDL复习题

一、选择题 ( A )1.一个项目的输入输出端口是定义在: A. 实体中 B. 结构体中 C. 任何位置 D. 进程体 ( B)2.描述项目具有逻辑功能的是: A. 实体 B. 结构体 C. 配置 D. 进程 ( A )3.关键字ARCHITECTURE定义的是: A. 结构体 B. 进程 C. 实体 D. 配置 ( D )4.VHDL语言中变量定义的位置是: A. 实体中中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置( D )5.VHDL语言中信号定义的位置是: A. 实体中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置( B )6.变量是局部量可以写在: A. 实体中 B. 进程中 C. 线粒体 D. 种子体中 ( A )7.变量和信号的描述正确的是: A. 变量赋值号是:= B. 信号赋值号是:= C. 变量赋值号是<= D. 二者没有区别( B )8. 变量和信号的描述正确的是: A. 变量可以带出进程 B. 信号可以带出进程 C. 信号不能带出进程 D. 二者没有区别( )9.对于信号和变量的说法,哪一个是不正确的: A. 信号用于作为进程中局部数据存储单元 B. 变量的赋值是立即完成的 C. 信号在整个结构体内的任何地方都能适用 D. 变量和信号的赋值符号不一样 ( A )10.下列关于变量的说法正确的是: A.变量是一个局部量,它只能在进程和子程序中使用 B.B. 变量的赋值不是立即发生的,它需要有一个δ延时 ×××××试卷第1页(共××页)

C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量 D. 变量赋值的一般表达式为:目标变量名<= 表达式 ( C )11.可以不必声明而直接引用的数据类型是: A. STD_LOGIC B. STD_LOGIC_VECTOR C. BIT D. 前面三个答案都是错误的 ( C )12.STD_LOGIG_1164中定义高阻的字符是: A. X B. x C. z D. Z ( A )13.STD_LOGIG_1164中字符H定义的是: A. 弱信号1 B. 弱信号0 C. 没有这个定义 D. 初始值 ( B )14.使用STD_LOGIG_1164中的数据类型时: A. 可以直接调用 B. 必须在库和包集合中声明 C. 必须在实体中声明 D. 必须在结构体中声明 ( B )15.关于转化函数说法正确的是: A. 任何数据类型都可以通过转化函数相互转化 B. 只有特定类型的数据类型可以转化 C. 任何数据类型都不能转化 D. 前面说法都是错误的 ( C )16.VHDL运算符优先级说法正确的是: A. 逻辑运算的优先级最高 B. 关系运算的优先级最高 C. 逻辑运算的优先级最低 D. 关系运算的优先级最低 ( D )17.VHDL运算符优先级说法正确的是: A. NOT的优先级最高 B. AND和NOT属于同一个优先级 C. NOT的优先级最低 D. 前面的说法都是错误的 ( D )18.VHDL运算符优先级说法正确的是: A. 括号不能改变优先级 B. 不能使用括号 C. 括号的优先级最低 D. 括号可以改变优先级 ( B )19.如果a=1,b=0,则逻辑表达式(a AND b)OR(NOT b AND a)的值是: A. 0 B. 1 C. 2 D. 不确定 ( B )20.正确给变量X赋值的语句是: A. X<=A+B; B. X:=A+b; C. X=A+B; D. 前面的都不正确 ( )21.VHDL文本编辑中编译时出现如下的报错信息,其错误原因是: ×××××试卷第2页(共××页)

HTML试题及答案.doc

HTML练习题1(选择题) 一、选择 1.在一个框架的属性面板中,不能设置下面哪一项。( D ) A.源文件 ; B.边框颜色; C.边框宽度D.滚动条 2. 下列哪一项表示的不是按钮。( C ) A.type="submit" B.type="reset" C.type="image" D.type ="button" 3.下面哪一个属性不是文本的标签属性?( B ) A.nbsp; B.align C.color D.face 4.下面哪一项的电子邮件链接是正确的?( D ) A.https://www.doczj.com/doc/ea1592588.html, B.xxx@.net C.xxx@com D.xxx@xx https://www.doczj.com/doc/ea1592588.html, 5.当链接指向下列哪一种文件时,不打开该文件,而是提供给浏览器下载。( C ) A.ASP B.HTML C.ZIP D.CGI 6.关于表格的描述正确的一项是。( D ) A.在单元格内不能继续插入整个表格 B.可以同时选定不相邻的单元格 C.粘贴表格时,不粘贴表格的内容 D.在网页中,水平方向可以并排多个独立的表格 7.如果一个表格包括有1行4列,表格的总宽度为“699”,间距为“5”,填充为“0”,边框为“3”,每列的宽度相同,那么应将单元格定制为多少像素宽。( D ) A.126 B.136 C.147 D.167 8.关于文本对齐,源代码设置不正确的一项是:( A ) A.居中对齐:

B.居右对齐:
C.居左对齐:
D.两端对齐:
9.下面哪一项是换行符标签?(C) A. B. C.
D. 10.下列哪一项是在新窗口中打开网页文档。( B ) A._self B._blank C._top D._parent 11.下面对JPEG格式描述不正确的一项是。( C )

VHDL合肥工业大学期末试题(含答案)2007-2008A

合肥工业大学 2007/2008 学年第一学期末考试试题答案及评分标准 (A卷) 一、填空题(20分,每空格1分) 1、VHDL是否区分大小写?不区分。 2、digital_ _8标识符合法吗?不合法。12_bit标识符合法吗?不合法。 signal标识符合法吗?不合法。 3、结构体有三种描述方式,分别是数据流、行为、 和结构化。 4、请分别列举一个常用的库和程序包library ieee 、use ieee.std_logic_1164.all 。 5、一个信号处于高阻(三态)时的值在VHDL中描述为‘Z’。 6、将一个信号width定义为一个4位标准逻辑向量为 signal width : std_logic_vector(3 downto 0) 。 7、/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。 8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是 “0110”,(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。 9、赋值语句是(并行/串行)并行执行的,if语句是(并行/串行)串行执行的。 10、请列举三种可编程逻辑器件: EEPROM 、 GAL 、 FPGA 。 二、简答(20分,每小题5分) 1、简述VHDL程序的基本结构。

程序包(2) 实体(3) 结构体(5)若答出配置也可加1分 2、简述信号与变量的区别。 信号延时赋值,变量立即赋值(2) 信号的代入使用<=,变量的代入使用:=;(4) 信号在实际的硬件当中有对应的连线,变量没有(5) 3、简述可编程逻辑器件的优点。 ●集成度高,可以替代多至几千块通用IC芯片 –极大减小电路的面积,降低功耗,提高可靠性(1) ●具有完善先进的开发工具 –提供语言、图形等设计方法,十分灵活 –通过仿真工具来验证设计的正确性(2) ●可以反复地擦除、编程,方便设计的修改和升级(3) ●灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间(4) ●保密性好(5) 4、试比较moore状态机与mealy状态机的异同。 Moore输出只是状态机当前状态的函数(3) Mealy输出为有限状态机当前值和输入值的函数(5) 三、判断题(10分) library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。(2) entity rom is port( addr: in std_logic_vector(0 to 3);

牙周病学题库及答案1(1

牙周病学A1 型题 1.关于牙周病患者的全身病史,哪一项不准确? A.牙周治疗必须在全身疾病控制下才能进行 B.有助牙周病病因的全面分析 C.全身疾病改变对治疗的反应 D.提醒医生对特殊病人采取特殊治疗 E.全身疾病改变牙周组织对局部刺激的反应 2.关于牙周病史,哪一项不正确? A.就诊的原因及目的 B.过去的牙周治疗史 C . 口腔卫生习惯 D .是否有夜磨牙史,血液病史 E .发病时间与主要症状 3.关于牙周现病史,哪一项不正确? A.通过问诊收集病史 B .发病的时间、过程及可能的诱因 C .牙龈出血、牙周脓肿出现的情况 D . 吸烟史及家族史 E . 口腔卫生的情况 4.全身病史的内容,除了, A.传染病史 B.糖尿病 C.个人史 D.药物过敏史 E.癫痫病史 5..X 线片具有局限性,除了 A.拍X 线片费时及费用较高 B.不能显示软组织袋 C.不能精确反映邻间骨缺损的形态 D.不能准确反映颊、舌侧骨高度 E.X 线片显示的骨破坏比实际破坏轻 6.正常牙龈的最佳描述是 A.颜色是粉红色 B.颜色是鲜红色 C.颜色是暗红色 D.颜色是暗紫色 E.以上均可能 7.牙龈的临床特征,哪一项不正确? A.牙龈颜色的变化可呈局部或全口腔 B.皮肤黑者,正常牙龈可见色素沉着 C.正常的牙龈边缘通常较钝厚 D.炎症和创伤可引起牙龈外形的改变 E.炎症弥漫指已波及到附着龈

8.关于龈组织变化,哪一项不正确? A.健康牙龈坚实而有弹性 B.正常附着龈可有点彩或无点彩 C.龈裂是牙龈炎症的表现 D.慢性炎症导致结缔组织纤维和细胞的增加 E.牙龈肥大意味着牙周袋的形成 9.慢性牙龈炎症的体征,除了 A.溢脓 B.出血 C.颜色的改变 D.疼痛 E.牙龈红肿 10.牙周袋的最佳解释是 A.PD >3 伽 B.PD>5 伽 C.PD>3 mm,未见上皮附着向根方增殖 只与21.X 线片的读片内容为 D.冠根比例 E.牙周膜的宽度 F.不良充填体 G.牙槽骨的破坏形式 H.以上均是 21.X 线片的读片内容为 I.冠根比例 J.牙周膜的宽度 K.不良充填体 L.牙槽骨的破坏形式 M.以上均是 N.上皮附着向根方增殖有关 O.与骨丧失有关,上皮附着可正常 11.牙周探诊最重要的诊断意义是 A.附着丧失比袋深更有意义 B.袋越深,表明牙周病越重 C.牙周病的程度与龈缘的位置有关 D.牙龈出血是牙龈炎症的表现 E.袋内溢脓是牙周炎症加重的体征 12.牙周探诊的最佳力量是 A.10~20g B.15~20g C.20~25g D.20g 以下 E.25g 以上 13.牙周探诊的主要内容,除了

历年农商行考试真题及答案(DOC)

历年农商行考试真题 一、单选题 1、处置短期投资实际收到的收入大于账面价值的应计入(A )科目。 A、投资收益 B、利息收入 C、营业外收入 D、其他业务收入 2、下列对经营租入固定资产的描述有错误的是(D )。 A、租赁期较短 B、期满后需归还 C、不作为信用社自有资产处理 D、具有所有权 3、投资者转入的固定资产按(C )计价。 A、市价 B、评估价 C、投资各方确认价 D、历史成本 4、投资者投入的固定资产转入(A )科目。 A、实收资本 B、资本公积 C、盈余公积 D、本年利润 5、无偿调入的固定资产记入(B )科目。 A、实收资本 B、资本公积 C、盈余公积 D、本年利润 6、固定资产减少时记入(A )科目核算。 A、固定资产清理 B、营业外支出

C、其他业务支出 D、资本公积 7、(D )是信用社根据利润总额计算缴纳的税金。 A、营业税 B、房产税 C、城市维护建设税 D、所得税 8、信用社的资产安全程度的高低与资本充足率成正比,资本充足率在(C )以上可以认定为资本充足。 A、4% B、6% C、8% D、10% 9、存款撤销账户必须与开户信用社核对账户余额,经开户信用社审查同意后,办理销户手续。存款人销户时,需交回(A )。 A、各种重要空白凭证和开户许可证 B、各种重要空白凭证 C、开户许可证 D、不需交回各种重要空白凭证和开户许可证 10、信用社以效益性、安全性、流动性为经营原则,实行( B)。 A、独立核算、自我约束、自负盈亏、自担风险 B、独立核算、自主经营、自负盈亏、自担风险 C、独立核算、单独经营、自负盈亏、自担风险 D、单独核算、自主经营、自负盈亏、自担风险 11、信用社贷款,贷款余额占存款余额的比例不可超过( B )。 A、50% B、75% C、70% D、30% 12、金融机构弄虚作假,出具与事实不符的信用证、保函、票据、存单、资信证明等金融票证的,给予警告,没收违法所得的,处(C )。

相关主题
文本预览