当前位置:文档之家› 交通灯控制逻辑电路设计 数字电子技术

交通灯控制逻辑电路设计 数字电子技术

交通灯控制逻辑电路设计 数字电子技术
交通灯控制逻辑电路设计 数字电子技术

沧州师范学院

《数字电子技术课程设计》报告书

设计题目__交通灯控制逻辑电路设计__

院系_______机电工程系_________

专业___电气工程及其自动化_____

班级__________12-1____________

姓名_________刘新乐__________

学号_______1214223125_______

指导老师________王炳章____________

2014年6月

一、设计目的

城市十字路口为了确保车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。因此如何采用合适的方法,使交通信号灯的控制与交通疏导有机结合,最大限度缓解主干道与匝道、城市同周边地区的交通拥挤情况,越来越成为交通运输管理和城市规划部门以待解决的主要问题.

二、设计要求

要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照上面的工作时序进行工作,进行工作,黄灯亮时应为闪烁状态;

1)南北和东西车辆交替进行,各通行时间5t秒。

2)每次绿灯变为红灯时,黄灯先闪烁t秒,才可以变换运行方向。

3)十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行时序显示。4)可以手动调整和自动控制,夜间为黄灯闪耀状态。(通行时间和黄灯闪亮时间可以在0-99秒内任意设定。)

三、总体方案

依据功能要求,交通灯控制系统应主要由秒脉冲信号发生器、倒计时计数器电路和信号灯转换器组成,原理图如图1-1所示。秒脉冲信号发生器使该系统中倒计时计数电路和黄灯闪烁点控制电路的标准时钟信号源。倒计时计数器输出两组驱动信号T5和T0,分别为黄灯闪烁和变换为红灯的控制信号,这两个信号经信号灯转换器控制信号灯工作。倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。

2.1 白天工作模式

t为时间单位

2.2 夜间模式和总设计图

南北东西各方向黄灯亮,且每秒闪动一次,其他灯不亮。因此总设计图如下图所

示:

四、单元设计

4.1、秒脉冲产生电路

秒脉冲产生的功能是产生标准秒脉冲信号,主要由振荡器和分频器组成。振荡器是计时气的核心,振荡器的稳定度和频率的进度决定了计时器的准确度,可由石英晶体振荡电路或555定时器与RC组成的多谐振荡器构成。一般来说,振荡器的频率越高,计时的精度就越高,但耗电量将加大,故在设计时,一定根据需要设计出最佳电路。

振荡周期与频率的计算公式T=(R1+R2)Cln2=0.7(R1+R2)C,电源电压为VCC=12V,其中电路图中C1的作用是防止电磁干扰对振荡电路的影响,课程设计中要求

T=1S,选取电容C=10Nf,R1=28.86MΩ根据振荡周期计算,选择R2=57.72MΩ.用multisim进行仿真,仿真图如下所示

4.2 信号灯转化器

信号灯状态与车道运行状态如下:

S0:东西方向车道的绿灯亮,车道通行;南北方向车道的红灯亮,车道禁止通行;S1:东西方向车道的黄灯亮,车道缓行;南北方向车道的红灯亮,车道禁止通行;S2:东西方向车道的红灯亮,车道禁止通行;南北方向车道的绿灯亮,车道通行;S3:东西方向车道的红灯亮,车道禁止通行;南北方向车道的黄灯亮,车道缓行。用以下6个符号来分别代表东西(A)、南北(B)方向上的灯的状态;

GA=1:东西方向车道的绿灯亮;

YA=1:东西方向车道的黄灯亮;

RA=1:东西方向车道的红灯亮;

GB=1:南北方向车道的绿灯亮;

YB=1:南北方向车道的黄灯亮;

RB=1:南北方向车道的红灯亮;

4.3 JK触发器

设状态编码为S0=00,S1=01,S2=10,其输出为Q1,Q2,则其与信号灯状态关系如表所示。

可以得到信号灯状态的逻辑表达式:

GA=Q1’Q0’YA=Q1’Q0 RA=Q1

GB=Q1Q0 YB=Q1Q0’RB=Q1’

Jk 触发器的输出状态时与J输入端的状态相同的,同时分析表3-1,触发器的0的仙台与触发器的1的次态相同,触发器1的仙台与触发器0的次态相反,因此可以将触发器的0的输出端Q、Q’(现态)分别接触发器的1的J、K输入端(次态),

4.4 倒计时计数器

倒计时计数器十字路口要有数字显示作为倒计时提示,以便人们更直观的把握时间。具体工作方式:当某方向绿灯粮食,置显示器为某值,然后以每秒减1,计数方式工作,直至减到数位“4”和“0”。十字路口绿、黄、红灯变换,一次工作循环结束,而进入下一步某方向的工作循环。在倒计时过程中计数器还向信号灯转换器提供模4的定时信号T4和模0的定时信号T0,用以控制黄灯的闪烁和黄灯向红灯的变换。倒计时显示采用七段数码管作为显示,它由计数器驱动并显示计数器的输出值。根据要求,采用两片74190芯片构成计数器,74190是十进制同步可逆计数器,它具有异步并行置数功能、保持功能。采用两片74190芯片可设计成00~99的百进制计数器。要实现35S的倒计时,需要两个74190芯片连成一个从99~00的计数器,其中作为个位数的74190芯片的CLK接秒脉冲发生器,再把个位数74190芯片输出端QD用一个与门连接,再接在十位数74190芯片的CLK端。当个位数减到0时,再减1变成9,0(0000)和9(1001)之间的QA、QD 同时由0变成1,把QA、QD连接在十位数74190芯片的CLK端,此时会给十位数74190芯片一个脉冲数字减1,相当于借位。当个位数74190的C管脚和十位数的74190的B管脚同时置1,CTEN端接低电频,D/U加减技术控制端接高电频实现减技术,预置端LOAD接高频时计数,接低电频时预置数。因此工作开始时,LOAD为0,计数器预置数,置完数后,LOAD变成1,计数器开始倒计时,当倒计时减为00时,LOAD又变为0,计数器又与之数,如此循环下去,则连接后的电路图如下。

4.5白天夜间模式的转换

根据任务书的要求,要用一个手动开关来控制白天模式与晚上模式的改变。经过修改后的组合逻辑电路输出与输入的表达式可以看出,白天与夜间模式的转换出单刀双掷开关与与门活门控制。当开关接上边时为白天工作模式,开关接地时为夜晚工作模式。则电路连接如下图3-5所示

五、总电路图

六、调试运行

--------东西方向绿灯亮,南北方向红灯亮

----------东西方向黄灯闪烁,南北方向红灯亮

---------东西方向红灯亮,南北方向绿灯亮

-------东西方向红灯亮,南北方向黄灯闪烁。

七、心得体会

课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程.”千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义.我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础.

在这次设计过程中,体现出自己单独设计模具的能力以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补。

在此感谢我们的姜荣东、辛建老师.,老师严谨细致、一丝不苟的作风一直是我工作、学习中的榜样;老师循循善诱的教导和不拘一格的思路给予我无尽的启迪;这次模具设计的每个实验细节和每个数据,都离不开老师您的细心指导。而您开朗的个性和宽容的态度,帮助我能够很顺利的完成了这次课程设计。

同时感谢对我帮助过的同学们,谢谢你们对我的帮助和支持,让我感受到同学的友谊。

八、参考文献

<1>阎石主编,数字电子技术基础(第五版)。北京:高等教育出版社,2009。

<2>康华光。电子技术基础数字部分(第五版)。

<3>杨欣、王玉凤、刘湘黔。电路设计与仿真——基于Multisim9与protel99。

<4>标准集成电路数据手册TTL电路。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

交通灯控制逻辑电路的设计

摘要:根据实际路口的交通灯设置与运行规律,基于VHDL硬件描述语言,利用FPGA器件EP1K10TC100_3和自行设计的高亮LED交通灯指示模拟电路板以及数码显示模拟电路板,通过了QuartusⅡ软件的功能仿真和实际调试,体现了EDA 技术的设计优越性。 关键词:交通灯;VHDL;FPGA;计数器 Abstract:According to the design and operation rules of traffic lights at the practical crossings,and based on the descriptive language of VHDL hardwares,making use of the device of EP1K10TC100_3 and self-designed highly bright LED traffic lights indicating imitation circuit panels and imitation circuit panel with digital display,going through functional simulation and practical debugging by Quartus softwares,this paper displays the design advantages of EDA. Key words:traffic lights;VHDL;FPGA;counter

前言 交通灯是城市交通中的重要指挥系统,它与人们日常生活密切相关。随着人们生活水平的提高,对交通管制也提出了更高的要求,因此提供一个可靠、安全、便捷的多功能交通灯控制系统有着现实的必要性。 随着社会经济的发展,城市交通问题越来越引起人们的关注,人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一,同时,交通灯控制系统也是应用电子技术中最经典的电子设计,但目前尚存在系列问题有待解决,例如实际路口设置的交通灯种类较多、数量也较多、程序结构较复杂等等问题。 本次设计整个交通灯控制器电路系统采用 verilog hdl程序编写,并能进行硬件仿真。课题除了学习相应的硬件知识外,还要学习如何使用VHDL语言设计可编程逻辑器件。 VHDL是广泛使用的设计输人硬件语言,可用于数字电路与系统的描述、模拟和自动设计.CPLD/FPGA(复杂可编程逻辑器件/现场可编程门阵列)为数字系统的设计带灵活性,兼有串/并行工作方式和高集成度、高速、高可靠性等明显的特点,CPLD/FPGA的时钟延迟可达纳秒级,结合其并行工作方式,在超高速领域和实时测控方面有非常广泛的应用。 本文根据实际路口的交通灯设置与运行规律,基于FPGA技术设计出交通灯控制电路,不但通过QuartusⅡ软件的功能仿真,而且得到实践的检验,证明设计是符合实际的。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

交通灯控制逻辑电路设计实验报告

交通灯控制逻辑电路设 计实验报告 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这

时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制系统课程设计

2011年至2012年第1学期《单片机原理与应用》课程设计 班级1006402 指导教师涂立旎 学生人数___ _3__ ___ 设计份数 1 2011年12月23日

城市交通灯控制系统设计报告 1006402-42 流溪 1006402-24钱升 1006402-06毛运鹏 一.设计时间 2012年12月19日——2011年12月23日 二.设计地点 一实验楼401机房 三.设计小组及成员分工 1006402-42 流溪(组长,编写及调试程序) 1006402-24 钱升(负责设计报告的书写及资料整理) 1006402-06 毛运鹏(原理图、流程图设计) 四.指导老师 涂立老师,旎老师 五.设计题目 基于51型单片机的城市交通灯控制系统 六.设计容及目标 1.该交通灯系统的设计容 本设计是基于AT89S51单片机的十字路口交通灯控制系统,利用6个发光二极管模拟交通灯。按照时间控制原则,利用并行接口和定时器,采用时间中断方式设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。 2.该交通灯系统的设计目标 本系统结构简单,操作方便;可实现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。

七.流程图与原理图及部分说明 1.程序流程图如图1所示: 图1. 程序流程图 2.主程序流程图步骤说明: (1)东西方向车道红灯亮,南北方向车道绿灯亮。表示东西方向车道上的车辆禁止通行,南北方向车道允许通行。绿灯亮足规定的时间隔时,控制器发出状态信号,转到下一工作状态。

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制系统的设计

《微型计算机技术》 课程设计报告 题目交通灯控制系统的设计作者xx 班级xx 学号xx 指导教师xx 2005 年6月20日

《微型计算机技术》 课程设计报告 题目交通灯控制系统的设计作者xx 班级xx 学号xx 指导教师xx 2005 年6月20日

目录 第一部分: 微机硬件结构组成及原理知识---------------------------------------------------- 2第二部分: 微型计算机应用的系统设计------------------------------------------------------- 5 一、要求-------------------------------------------------------------------------------- 5 二、目的--------------------------------------------------------------------- 5 三、内容设计与步骤------------------------------------------------------ 5 四、设计结果与分析------------------------------------------------------ 10 五、设计体会--------------------------------------------------------------- 10 六、感谢--------------------------------------------------------------------- 10

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

相关主题
文本预览
相关文档 最新文档