当前位置:文档之家› C51单片机C语言程序100例_Keil

C51单片机C语言程序100例_Keil

C51单片机C语言程序100例_Keil
C51单片机C语言程序100例_Keil

目录

目录 (1)

函数的使用和熟悉********************************/ (4)

实例3:用单片机控制第一个灯亮 (4)

实例4:用单片机控制一个灯闪烁:认识单片机的工作频率 (4)

实例5:将P1口状态分别送入P0、P2、P3口:认识I/O口的引脚功能 (5)

实例6:使用P3口流水点亮8位LED (5)

实例7:通过对P3口地址的操作流水点亮8位LED (6)

实例8:用不同数据类型控制灯闪烁时间 (7)

实例9:用P0口、P1口分别显示加法和减法运算结果 (8)

实例10:用P0、P1口显示乘法运算结果 (9)

实例11:用P1、P0口显示除法运算结果 (9)

实例12:用自增运算控制P0口8位LED流水花样 (10)

实例13:用P0口显示逻辑"与"运算结果 (10)

实例14:用P0口显示条件运算结果 (11)

实例15:用P0口显示按位"异或"运算结果 (11)

实例16:用P0显示左移运算结果 (11)

实例17:"万能逻辑电路"实验 (11)

实例18:用右移运算流水点亮P1口8位LED (12)

实例19:用if语句控制P0口8位LED的流水方向 (13)

实例20:用swtich语句的控制P0口8位LED的点亮状态 (13)

实例21:用for语句控制蜂鸣器鸣笛次数 (14)

实例22:用while语句控制LED (16)

实例23:用do-while语句控制P0口8位LED流水点亮 (16)

实例24:用字符型数组控制P0口8位LED流水点亮 (17)

实例25:用P0口显示字符串常量 (18)

实例26:用P0口显示指针运算结果 (19)

实例27:用指针数组控制P0口8位LED流水点亮 (19)

实例28:用数组的指针控制P0口8位LED流水点亮 (20)

实例29:用P0、P1口显示整型函数返回值 (21)

实例30:用有参函数控制P0口8位LED流水速度 (22)

实例31:用数组作函数参数控制流水花样 (23)

实例32:用指针作函数参数控制P0口8位LED流水点亮 (23)

实例33:用函数型指针控制P1口灯花样 (25)

实例34:用指针数组作为函数的参数显示多个字符串 (26)

实例35:字符函数ctype.h应用举例 (27)

实例36:内部函数intrins.h应用举例 (27)

实例37:标准函数stdlib.h应用举例 (28)

实例38:字符串函数string.h应用举例 (29)

实例39:宏定义应用举例2 (29)

1/192

实例40:宏定义应用举例2 (30)

实例41:宏定义应用举例3 (30)

中断、定时器************************************************ (31)

实例42:用定时器T0查询方式P2口8位控制LED闪烁 (31)

实例43:用定时器T1查询方式控制单片机发出1KHz音频 (31)

实例44:将计数器T0计数的结果送P1口8位LED显示 (32)

实例45:用定时器T0的中断控制1位LED闪烁 (33)

实例46:用定时器T0的中断实现长时间定时 (34)

实例47:用定时器T1中断控制两个LED以不同周期闪烁 (34)

实例48:用计数器T1的中断控制蜂鸣器发出1KHz音频 (36)

实例49:用定时器T0的中断实现"渴望"主题曲的播放 (36)

实例50-1:输出50个矩形脉冲 (39)

实例50-2:计数器T0统计外部脉冲数 (40)

实例51-2:定时器T0的模式2测量正脉冲宽度 (40)

实例52:用定时器T0控制输出高低宽度不同的矩形波 (41)

实例53:用外中断0的中断方式进行数据采集 (42)

实例54-1:输出负脉宽为200微秒的方波 (43)

实例54-2:测量负脉冲宽度 (43)

实例55:方式0控制流水灯循环点亮 (44)

实例56-1:数据发送程序 (45)

实例56-2:数据接收程序 (47)

实例57-1:数据发送程序 (47)

实例57-2:数据接收程序 (49)

实例58:单片机向PC发送数据 (50)

实例59:单片机接收PC发出的数据 (51)

*********************************数码管显示 (52)

实例60:用LED数码显示数字5 (52)

实例61:用LED数码显示器循环显示数字0~9 (52)

实例62:用数码管慢速动态扫描显示数字"1234" (53)

实例63:用LED数码显示器伪静态显示数字1234 (54)

实例64:用数码管显示动态检测结果 (54)

实例65:数码秒表设计 (56)

实例66:数码时钟设计 (58)

实例67:用LED数码管显示计数器T0的计数值 (62)

实例68:静态显示数字“59” (63)

*****************************键盘控制

2/192

*****************************************************/ (63)

实例69:无软件消抖的独立式键盘输入实验 (64)

实例70:软件消抖的独立式键盘输入实验 (64)

实例71:CPU控制的独立式键盘扫描实验 (65)

实例72:定时器中断控制的独立式键盘扫描实验 (68)

实例73:独立式键盘控制的4级变速流水灯 (71)

实例74:独立式键盘的按键功能扩展:"以一当四" (73)

实例75:独立式键盘调时的数码时钟实验 (75)

实例76:独立式键盘控制步进电机实验 (79)

实例77:矩阵式键盘按键值的数码管显示实验 (82)

//实例78:矩阵式键盘按键音 (85)

实例79:简易电子琴 (86)

实例80:矩阵式键盘实现的电子密码锁 (92)

*********************************************************************

*****液晶显示LCD*********液晶显示LCD*****液晶显示LCD*************

***********************************************************/ (95)

实例81:用LCD显示字符'A' (96)

实例82:用LCD循环右移显示"Welcome to China" (99)

实例83:用LCD显示适时检测结果 (102)

实例84:液晶时钟设计 (106)

******************************************一些芯片的使用*****24c02........ DS18B20X5045ADC0832DAC0832DS1302

红外遥控**********************************************/ (112)

实例85:将数据"0x0f"写入AT24C02再读出送P1口显示 (112)

实例86:将按键次数写入AT24C02,再读出并用1602LCD显示 (117)

实例87:对I2C总线上挂接多个AT24C02的读写操作 (124)

实例88:基于AT24C02的多机通信读取程序 (129)

实例88:基于AT24C02的多机通信写入程序 (133)

实例90:DS18B20温度检测及其液晶显示 (144)

实例91:将数据"0xaa"写入X5045再读出送P1口显示 (153)

实例92:将流水灯控制码写入X5045并读出送P1口显示 (157)

实例93:对SPI总线上挂接多个X5045的读写操作 (161)

实例94:基于ADC0832的数字电压表 (165)

实例95:用DAC0832产生锯齿波电压 (171)

实例96:用P1口显示红外遥控器的按键值 (171)

实例97:用红外遥控器控制继电器 (174)

实例98:基于DS1302的日历时钟 (177)

实例99:单片机数据发送程序 (185)

实例100:电机转速表设计 (186)

模拟霍尔脉冲 (192)

/********************************************************* ***

函数的使用和熟悉***************

************************************************/

//实例3:用单片机控制第一个灯亮

#include//包含51单片机寄存器定义的头文件

void main(void)

{

P1=0xfe;//P1=11111110B,即P1.0输出低电平

}

//4

//实例4:用单片机控制一个灯闪烁:认识单片机的工作频率

#include//包含单片机寄存器的头文件

/****************************************

函数功能:延时一段时间

*****************************************/

void delay(void)//两个void意思分别为无需返回值,没有参数传递

{

unsigned int i;//定义无符号整数,最大取值范围65535

for(i=0;i<20000;i++)//做20000次空循环

;//什么也不做,等待一个机器周期

}

/*******************************************************

函数功能:主函数(C语言规定必须有也只能有1个主函数)

********************************************************/

void main(void)

{

while(1)//无限循环

{

P1=0xfe;//P1=11111110B,P1.0输出低电平

delay();//延时一段时间

P1=0xff;//P1=11111111B,P1.0输出高电平

delay();//延时一段时间

// 5 P1 P0 P2 P3 I/O //实例

5:将 #include P1 口状态分别送入

P0、P2、P3

口:认识

I/O

的引脚功能

//包含单片机寄存器的头文件

/******************************************************* 函数功能:主函数 (C

语言规定必须有也只能有

1

个主函数) ********************************************************/

void

main(void) {

while(1) //无限循环 {

P1=0xff; // P1=1111

1111B,熄灭

LED P0=P1;

//

将 P1

口状态送入

P0

口 P2=P1; //

将 P1

口状态送入

P2

口 P3=P1; //

将 P1

口状态送入

P3

口 } }

//实例

6:使用

P3 口流水点亮

8

LED

#include //包含单片机寄存器的头文件 /**************************************** 函数功能:延时一段时间

*****************************************/

void

delay(void) {

unsigned char

i,j; for(i=0;i<250;i++) for(j=0;j<250;j++) ; }

/******************************************************* 函数功能:主函数

********************************************************/ void

main(void) {

while(1)

{

P3=0xfe; delay(); P3=0xfd; delay(); P3=0xfb; delay(); P3=0xf7; delay(); P3=0xef; //第一个灯亮//调用延时函数//第二个灯亮//调用延时函数//第三个灯亮//调用延时函数//第四个灯亮//调用延时函数//第五个灯亮

delay(); //调用延时函数

P3=0xdf; delay(); P3=0xbf;

//第六个灯亮//调用延时函数//第七个灯亮

delay(); //调用延时函数P3=0x7f; //第八个灯亮

} }

delay(); //调用延时函数

//实例7:通过对P3口地址的操作流水点亮8位LED

#include//包含单片机寄存器的头文件

sfr x=0xb0;//P3口在存储器中的地址是b0H,通过sfr可定义8051内核单片机

//的所有内部8位特殊功能寄存器,对地址x的操作也就是对P1口的操作

/****************************************

函数功能:延时一段时间

*****************************************/

void delay(void)

{

unsigned char i,j;

for(i=0;i<250;i++)

for(j=0;j<250;j++)

;//利用循环等待若干机器周期,从而延时一段时间}

/*****************************************

函数功能:主函数

******************************************/

void main(void)

{

while(1)

{

x=0xfe;//第一个灯亮

delay();//调用延时函数

x=0xfd;//第二个灯亮

delay();//调用延时函数

x=0xfb;//第三个灯亮

delay();//调用延时函数

x=0xf7;//第四个灯亮

delay();//调用延时函数

x=0xef;//第五个灯亮

delay();//调用延时函数

x=0xdf;//第六个灯亮

delay();//调用延时函数

x=0xbf;//第七个灯亮

delay();//调用延时函数

x=0x7f;//第八个灯亮

delay();//调用延时函数

}

}

//实例8:用不同数据类型控制灯闪烁时间

#include//包含单片机寄存器的头文件

/******************************************************

函数功能:用整形数据延时一段时间

******************************************************/

void int_delay(void)//延时一段较长的时间

{

unsigned int m;//定义无符号整形变量,双字节数据,值域为0~65535 for(m=0;m<36000;m++)

;//空操作

}

/******************************************************

函数功能:用字符型数据延时一段时间

******************************************************/

void char_delay(void)//延时一段较短的时间

{

unsigned char i,j;//定义无符号字符型变量,单字节数据,值域0~255 for(i=0;i<200;i++)

for(j=0;j<180;j++)

;//空操作

}

/******************************************************

函数功能:主函数

******************************************************/

void main(void)

{

unsigned char i;

while(1)

{

for(i=0;i<3;i++)

{

P1=0xfe;//P1.0口的灯点亮

int_delay();//延时一段较长的时间

P1=0xff;//熄灭

int_delay();//延时一段较长的时间

}

for(i=0;i<3;i++)

{

P1=0xef;//P1.4口的灯点亮

char_delay();//延时一段较长的时间

P1=0xff;//熄灭

char_delay();//延时一段较长的时间

}

}

}

//实例9:用P0口、P1

口分别显示加法和减法运算结果#include

void main(void)

{

unsigned char m,n;

m=43; //即十进制数2x16+11=43

n=60;

P1=m+n; //即十进制数3x16+12=60

//P1=103=01100111B,结果P1.3、P1.4、P1.7 口的灯被点亮

}

P0=n-m; //P0=17=00010001B,结果P0.0、P0.4的灯被熄灭

//实例10:用P0、P1口显示乘法运算结果

#include//包含单片机寄存器的头文件

void main(void)

{

unsigned char m,n;

unsigned int s;

m=64;

n=71;

s=m*n;//s=64*71=4544,需要16位二进制数表示,高8位送P1口,低

8位送P0口

//由于4544=17*256+192=H3*16*16*16+H2*16*16+H1*16+H0

//两边同除以256,可得17+192/256=H3*16+H2+H1*16+H0)/256

//因此,高8位16进制数H3*16+H2必然等于17,即4544

除以256的商

//低8位16进制数H1*16+H0必然等于192,即4544除以256的余数

P1=s/256;//高8位送P1口,P1=17=11H=00010001B,P1.0和P1.4口灭,

其余亮

P0=s%256;//低8位送P0口,P3=192=c0H=11000000B,P3.1,P3.6,P3.7口灭,其余亮

}

//实例11:用P1、P0口显示除法运算结果

#include//包含单片机寄存器的头文件

void main(void)

{

P1=36/5;//求整数

P0=((36%5)*10)/5;//求小数

while(1)

; //无限循环防止程序“跑飞”

}

//实例12:用自增运算控制P0口8位LED流水花样#include//包含单片机寄存器的头文件

/******************************************************

函数功能:延时一段时间

******************************************************/

void delay(void)

{

unsigned int i;

for(i=0;i<20000;i++)

;

}

/******************************************************

函数功能:主函数

******************************************************/

void main(void)

{

unsigned char i;

for(i=0;i<255;i++)//注意i的值不能超过255

{

P0=i;//将i的值送P0口

delay();//调用延时函数

}

}

//实例13:用P0口显示逻辑"与"运算结果

#include//包含单片机寄存器的头文件

void main(void)

{

P0=(4>0)&&(9>0xab);//将逻辑运算结果送P0口

while(1)

;//设置无限循环,防止程序“跑飞”

}

//14P0

//实例14:用P0口显示条件运算结果

#include//包含单片机寄存器的头文件

void main(void)

{

P0=(8>4)?8:4;//将条件运算结果送P0口,P0=8=00001000B while(1)

;//设置无限循环,防止程序“跑飞”

}

//实例15:用P0口显示按位"异或"运算结果#include//包含单片机寄存器的头文件

void main(void)

{

P0=0xa2^0x3c;//将条件运算结果送P0口,P0=8=00001000B while(1)

;//设置无限循环,防止程序“跑飞”

}

//16P0

//实例16:用P0显示左移运算结果

#include//包含单片机寄存器的头文件

void main(void)

{

P0=0x3b<<2;//将左移运算结果送P0口,P0=11101100B=0xec while(1)

;//无限循环,防止程序“跑飞”

}

#include //实例17:"万能逻辑电路"实验//包含单片机寄存器的头文件

sbit X=P1^5; sbit Y=P1^6; sbit Z=P1^7; void main(void) {

while(1)

{ //将X位定义为

//将Y位定义为

//将Z位定义为

P1.5

P1.6

P1.7

} }

F=((~X)&Y)|Z;//将逻辑运算结果赋给F

;

//实例18:用右移运算流水点亮P1口8位LED

#include//包含单片机寄存器的头文件

/*****************************

函数功能:延时一段时间

*****************************/

void delay(void)

{

unsigned int n;

for(n=0;n<30000;n++)

;

}

/*****************************

函数功能:主函数

*****************************/

void main(void)

{

unsigned char i;

while(1)

{

P1=0xff;

delay();

for(i=0;i<8;i++)//设置循环次数为8

{

P1=P1>>1;//每次循环P1的各二进位右移1位,高位补0

delay();//调用延时函数

}

}

}

//19iff P08LED

//实例19:用iff语句控制P0口8位LED的流水方向

#include//包含单片机寄存器的头文件

sbit S1=P1^4;//将S1位定义为P1.4

sbit S2=P1^5;//将S2位定义为P1.5

/*****************************

函数功能:主函数

*****************************/

void main(void)

{

while(1)

{

if(S1==0)//如果按键S1按下

P0=0x0f;//P0口高四位LED点亮

if(S2==0)//如果按键S2按下

P0=0xf0;//P0口低四位LED点亮

}

}

//实例20:用swtich语句的控制P0口8位LED的点亮状态#include//包含单片机寄存器的头文件

sbit S1=P1^4;//将S1位定义为P1.4

/*****************************

函数功能:延时一段时间

*****************************/

void delay(void)

{

unsigned int n;

for(n=0;n<10000;n++)

;

}

/*****************************

函数功能:主函数

*****************************/

void main(void)

{

unsigned char i;

i=0;

while(1)

{

//将i初始化为0

if(S1==0) {

delay();

//如果S1键按下//延时一段时间

} if(S1==0)//如果再次检测到S1键按下i++;//i自增1

if(i==9)//如果i=9,重新将其置为1 i=1;

} switch(i

)

}

{

}

//使用多分支选择语句

case1:P0=0xfe;//第一个LED亮

break;

case2:P0=0xfd;//第二个LED亮

break;

case3:P0=0xfb;//第三个LED亮

break;

case4:P0=0xf7;//第四个LED亮

break;

case5:P0=0xef;//第五个LED亮

break;

case6:P0=0xdf;//第六个LED亮

break;

case7:P0=0xbf;//第七个LED亮

break;

case8:P0=0x7f;//第八个LED亮

break;

default://缺省值,关闭所有LED

P0=0xff;

//21for

//实例21:用for语句控制蜂鸣器鸣笛次数

#include//包含单片机寄存器的头文件sbit sound=P3^7;//将sound位定义为P3.7

/**************************************** 函数功能:延时形成1600Hz音频

****************************************/ void delay1600(void)

{

unsigned char n;

for(n=0;n<100;n++)

;

}

/**************************************** 函数功能:延时形成800Hz音频

****************************************/ void delay800(void)

{

unsigned char n;

for(n=0;n<200;n++)

;

}

/**************************************** 函数功能:主函数

****************************************/ void main(void)

{

unsigned int i;

while(1)

{

for(i=0;i<830;i++)

{

sound=0;//P3.7输出低电平

delay1600();

sound=1;//P3.7输出高电平

delay1600();

}

for(i=0;i<200;i++)

{

sound=0;//P3.7输出低电平

delay800();

sound=1;//P3.7输出高电平

delay800();

}

}

}

//实例22:用whille语句控制LED

#include //包含单片机寄存器的头文件

/****************************************

函数功能:延时约60ms(3*100*200=60000μs)

****************************************/

void delay60ms(void)

{

unsigned char m,n;

for(m=0;m<100;m++)

for(n=0;n<200;n++)

;

}

/****************************************

函数功能:主函数

****************************************/

void main(void)

{

unsigned char i;

while(1)//无限循环

{

i=0;//将i初始化为0

while(i<0xff)//当i小于0xff(255)时执行循环体

{

P0=i;//将i送P0口显示

delay60ms();//延时

i++;//i自增1

}

}

}

//实例23:用do-whiile语句控制P0口8位LED流水点亮#include//包含单片机寄存器的头文件

/****************************************

函数功能:延时约60ms(3*100*200=60000μs)

****************************************/

void delay60ms(void)

{

unsigned char m,n;

for(m=0;m<100;m++)

for(n=0;n<200;n++)

;

}

/****************************************

函数功能:主函数

****************************************/

void main(void)

{

do

{

P0=0xfe;//第一个LED亮

delay60ms();

P0=0xfd;//第二个LED亮

delay60ms();

P0=0xfb;//第三个LED亮

delay60ms();

P0=0xf7;//第四个LED亮

delay60ms();

P0=0xef;//第五个LED亮

delay60ms();

P0=0xdf;//第六个LED亮

delay60ms();

delay60ms();

P0=0xbf;//第七个LED亮

delay60ms();

P0=0x7f;//第八个LED亮

delay60ms();

}while(1);//无限循环,使8位LED循环流水点亮

}

//实例24:用字符型数组控制P0口8位LED流水点亮#include//包含单片机寄存器的头文件

/****************************************

函数功能:延时约60ms(3*100*200=60000μs)

****************************************/

void delay60ms(void)

{

unsigned char m,n;

for(m=0;m<100;m++)

} for(n=0;n<200;n++)

;

/****************************************

函数功能:主函数

****************************************/

void main(void)

{

unsigned char i;

unsigned char code Tab[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//定义无符号字符型数组

while(1)

{

for(i=0;i<8;i++)

{

P0=Tab[i];//依次引用数组元素,并将其送P0口显示

delay60ms();//调用延时函数

}

}

}

//25P0

//实例25:用P0口显示字符串常量

#include //包含单片机寄存器的头文件

/*************************************************

函数功能:延时约150ms(3*200*250=150000μs=150ms

*************************************************/

void delay150ms(void)

{

unsigned char m,n;

for(m=0;m<200;m++)

for(n=0;n<250;n++)

;

}

/*************************************************

函数功能:主函数

*************************************************/

void main(void)

{

unsigned char str[]={"Now,Temperature is:"};//将字符串赋给字符型全部元素赋值

unsigned char i;

while(1)

{

i=0; //将i初始化为0,从第一个元素开始显示

} }

while(str[i]!='\0')//只要没有显示到结束标志'\0'

{

P0=str[i];//将第i个字符送到P0口显示

delay150ms();//调用150ms延时函数

i++;//指向下一个待显字符

}

//实例26:用P0

#include

void main(void)

{

口显示指针运算结果

unsigned char*p1,*p2; //定义无符号字符型指针变量p1,p2 unsigned char i,j; //定义无符号字符型数据

i=25; j=15;

p1=&i ;

p2=&j ; //给i赋初值25

//使指针变量指向i

//使指针变量指向j

,对指针初始化

,对指针初始化

P0=*p1+*p2; //*p1+*p2相当于i+j,所以P0=25+15=40=0x28

}

//则P0=00101000B,结果P0.3、P0.5引脚LED熄灭,其余点亮while(1)

;//无限循环,防止程序“跑飞”

//27P08LED

//实例27:用指针数组控制P0口8位LED流水点亮

#include

/************************************************* 函数功能:延时约150ms(3*200*250=150000μs=150ms *************************************************/ void delay150ms(void)

{

} for(n=0;n<250;n++) ;

/*************************************************

函数功能:主函数

*************************************************/

void main(void)

{

unsigned char code Tab[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char*p[]={&Tab[0],&Tab[1],&Tab[2],&Tab[3],&Tab[4],&Tab[5],

&Tab[6],&Tab[7]};

unsigned char i;//定义无符号字符型数据

while(1)

{

for(i=0;i<8;i++)

{

P0=*p[i];

delay150ms();

}

}

}

//28P08LED

//实例28:用数组的指针控制P0

#include

口8位LED流水点亮

/*************************************************

函数功能:延时约150ms(3*200*250=150000μs=150ms

*************************************************/

void delay150ms(void)

{

unsigned char m,n;

for(m=0;m<200;m++)

for(n=0;n<250;n++)

;

}

/*************************************************

函数功能:主函数

*************************************************/

void main(void)

{

51单片机实例程100讲全集

目录 目录 (1) 函数的使用和熟悉 (4) 实例3:用单片机控制第一个灯亮 (4) 实例4:用单片机控制一个灯闪烁:认识单片机的工作频率 (4) 实例5:将P1口状态分别送入P0、P2、P3口:认识I/O口的引脚功能 (5) 实例6:使用P3口流水点亮8位LED (5) 实例7:通过对P3口地址的操作流水点亮8位LED (6) 实例8:用不同数据类型控制灯闪烁时间 (7) 实例9:用P0口、P1 口分别显示加法和减法运算结果 (8) 实例10:用P0、P1口显示乘法运算结果 (9) 实例11:用P1、P0口显示除法运算结果 (9) 实例12:用自增运算控制P0口8位LED流水花样 (10) 实例13:用P0口显示逻辑"与"运算结果 (10) 实例14:用P0口显示条件运算结果 (11) 实例15:用P0口显示按位"异或"运算结果 (11) 实例16:用P0显示左移运算结果 (11) 实例17:"万能逻辑电路"实验 (11) 实例18:用右移运算流水点亮P1口8位LED (12) 实例19:用if语句控制P0口8位LED的流水方向 (13) 实例20:用swtich语句的控制P0口8位LED的点亮状态 (13) 实例21:用for语句控制蜂鸣器鸣笛次数 (14) 实例22:用while语句控制LED (15) 实例23:用do-while语句控制P0口8位LED流水点亮 (16) 实例24:用字符型数组控制P0口8位LED流水点亮 (17) 实例25:用P0口显示字符串常量 (18) 实例26:用P0 口显示指针运算结果 (19) 实例27:用指针数组控制P0口8位LED流水点亮 (19) 实例28:用数组的指针控制P0 口8 位LED流水点亮 (20) 实例29:用P0 、P1口显示整型函数返回值 (21) 实例30:用有参函数控制P0口8位LED流水速度 (22) 实例31:用数组作函数参数控制流水花样 (22) 实例32:用指针作函数参数控制P0口8位LED流水点亮 (23) 实例33:用函数型指针控制P1口灯花样 (25) 实例34:用指针数组作为函数的参数显示多个字符串 (26) 实例35:字符函数ctype.h应用举例 (27) 实例36:内部函数intrins.h应用举例 (27) 实例37:标准函数stdlib.h应用举例 (28) 实例38:字符串函数string.h应用举例 (29) 实例39:宏定义应用举例2 (29) 实例40:宏定义应用举例2 (29) 实例41:宏定义应用举例3 (30)

51单片机实例(含详细代码说明)

1.闪烁灯 1.实验任务 如图4.1.1所示:在P1.0端口上接一个发光二极管L1,使L1在不停地一亮一灭,一亮一灭的时间间隔为0.2秒。 2.电路原理图 图4.1.1 3.系统板上硬件连线 把“单片机系统”区域中的P1.0端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上。 4.程序设计内容 (1).延时程序的设计方法 作为单片机的指令的执行的时间是很短,数量大微秒级,因此,我们要 求的闪烁时间间隔为0.2秒,相对于微秒来说,相差太大,所以我们在 执行某一指令时,插入延时程序,来达到我们的要求,但这样的延时程 序是如何设计呢?下面具体介绍其原理:

如图4.1.1所示的石英晶体为12MHz,因此,1个机器周期为1微秒机器周期微秒 MOV R6,#20 2个 2 D1: MOV R7,#248 2个 2 2+2×248=498 20× DJNZ R7,$ 2个2×248 (498 DJNZ R6,D1 2个2×20=40 10002 因此,上面的延时程序时间为10.002ms。 由以上可知,当R6=10、R7=248时,延时5ms,R6=20、R7=248时, 延时10ms,以此为基本的计时单位。如本实验要求0.2秒=200ms, 10ms×R5=200ms,则R5=20,延时子程序如下: DELAY: MOV R5,#20 D1: MOV R6,#20 D2: MOV R7,#248 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET (2).输出控制 如图1所示,当P1.0端口输出高电平,即P1.0=1时,根据发光二极管 的单向导电性可知,这时发光二极管L1熄灭;当P1.0端口输出低电平, 即P1.0=0时,发光二极管L1亮;我们可以使用SETB P1.0指令使P1.0 端口输出高电平,使用CLR P1.0指令使P1.0端口输出低电平。 5.程序框图 如图4.1.2所示

51单片机汇编程序范例

16位二进制数转换成BCD码的的快速算法-51单片机2010-02-18 00:43在做而论道上篇博文中,回答了一个16位二进制数转换成BCD码的问题,给出了一个网上广泛流传的经典转换程序。 程序可见: http: 32.html中的HEX2BCD子程序。 .说它经典,不仅是因为它已经流传已久,重要的是它的编程思路十分清晰,十分易于延伸推广。做而论道曾经利用它的思路,很容易的编写出了48位二进制数变换成16位BCD码的程序。 但是这个程序有个明显的缺点,就是执行时间太长,转换16位二进制数,就必须循环16遍,转换48位二进制数,就必须循环48遍。 上述的HEX2BCD子程序,虽然长度仅仅为26字节,执行时间却要用331个机器周期。.单片机系统多半是用于各种类型的控制场合,很多时候都是需要“争分夺秒”的,在低功耗系统设计中,也必须考虑因为运算时间长而增加系统耗电量的问题。 为了提高整机运行的速度,在多年前,做而论道就另外编写了一个转换程序,程序的长度为81字节,执行时间是81个机器周期,(这两个数字怎么这么巧!)执行时间仅仅是经典程序的!.近来,在网上发现了一个链接: ,也对这个经典转换程序进行了改进,话是说了不少,只是没有实质性的东西。这篇文章提到的程序,一直也没有找到,也难辩真假。 这篇文章好像是选自某个著名杂志,但是在术语的使用上,有着明显的漏洞,不像是专业人员的手笔。比如说文中提到的:

“使用51条指令代码,但执行这段程序却要耗费312个指令周期”,就是败笔。51条指令代码,真不知道说的是什么,指令周期是因各种机型和指令而异的,也不能表示确切的时间。 .下面说说做而论道的编程思路。;----------------------------------------------------------------------- ;已知16位二进制整数n以b15~b0表示,取值范围为0~65535。 ;那么可以写成: ; n = [b15 ~ b0] ;把16位数分解成高8位、低8位来写,也是常见的形式: ; n = [b15~b8] * 256 + [b7~b0] ;那么,写成下列形式,也就可以理解了: ; n = [b15~b12] * 4096 + [b11~b0] ;式中高4位[b15~b12]取值范围为0~15,代表了4096的个数; ;上式可以变形为: ; n = [b15~b12] * 4000 + {[b15~b12] * (100 - 4) + [b11~b0]} ;用x代表[b15~b12],有: ; n =x * 4000 + {x * (100 - 4) + [b11~b0]} ;即: ; n =4*x (千位) + x (百位) + [b11~b0] - 4*x ;写到这里,就可以看出一点BCD码变换的意思来了。 ;;上式中后面的位:

c51单片机实例下载大全

单片机资料教程下载,省去找资料的麻烦,只供学习参考用,下载24内删掉,祝大家学习进步 单片机点阵学习资料 https://www.doczj.com/doc/db18299937.html,/thread-1703-1-1.html 手把手教你学单片机--教程视频 https://www.doczj.com/doc/db18299937.html,/thread-1688-1-1.html 力天把手教你学单片机视频教程 https://www.doczj.com/doc/db18299937.html,/thread-1689-1-1.html 谱中单片机开发板例程 https://www.doczj.com/doc/db18299937.html,/thread-1683-1-2.html 初学单片机的30,硬件简单对初学者有帮助 https://www.doczj.com/doc/db18299937.html,/thread-1962-1-1.html 用单片机制作的MP3 https://www.doczj.com/doc/db18299937.html,/thread-1701-1-2.html 51单片机应用开发大全所含100个范例代码及电路图 https://www.doczj.com/doc/db18299937.html,/thread-1820-1-1.html 【含28个单片机实例流程图】 https://www.doczj.com/doc/db18299937.html,/thread-1959-1-2.html 谱中单片机程序烧录工具STC https://www.doczj.com/doc/db18299937.html,/thread-1682-1-2.html 《单片机技术》32讲

https://www.doczj.com/doc/db18299937.html,/thread-1693-1-1.html 51单片机c语言100例教程 https://www.doczj.com/doc/db18299937.html,/thread-1700-1-1.html 单片机超级精华包 https://www.doczj.com/doc/db18299937.html,/thread-1687-1-1.html 新手学的多功能电子钟 https://www.doczj.com/doc/db18299937.html,/thread-1679-1-1.html 十天学会单片机和c语言视频教程 https://www.doczj.com/doc/db18299937.html,/thread-1692-1-1.html C语言函数库速查手册 https://www.doczj.com/doc/db18299937.html,/thread-1696-1-1.html 历史上最全的KEIL中文学习资料 https://www.doczj.com/doc/db18299937.html,/thread-1729-1-2.html 单片机c语言程序设计实训100例--基于AVR+PROTUES https://www.doczj.com/doc/db18299937.html,/thread-1695-1-2.html (有电路图和程序) https://www.doczj.com/doc/db18299937.html,/thread-1965-1-1.html 关于单片机抗干扰的资料 https://www.doczj.com/doc/db18299937.html,/thread-1961-1-1.html 单片机矩阵扫描键盘程序 https://www.doczj.com/doc/db18299937.html,/thread-1723-1-1.html 共享通过串口在线调试51单片机的专业版培训资料

单片机编程100例说课讲解

单片机编程100例

单片机编程实例100例大全 单片机编程实例 *实例1:使用P3口流水点亮8位LED #include //包含单片机寄存器的头文件 / 函数功能:延时一段时间 void delay(void) { unsigned char i,j; for(i=0;i<250;i++) for(j=0;j<250;j++) ; } / 函数功能:主函数 void main(void) { while(1) { P3=0xfe; //第一个灯亮 delay(); //调用延时函数 P3=0xfd; //第二个灯亮 delay(); //调用延时函数 P3=0xfb; //第三个灯亮

delay(); //调用延时函数 P3=0xf7; //第四个灯亮 delay(); //调用延时函数 P3=0xef; //第五个灯亮 delay(); //调用延时函数 P3=0xdf; //第六个灯亮 delay(); //调用延时函数 P3=0xbf; //第七个灯亮 delay(); //调用延时函数 P3=0x7f; //第八个灯亮 delay(); //调用延时函数 } / / } *实例2:通过对P3口地址的操作流水点亮8位LED #include //包含单片机寄存器的头文件 sfr x=0xb0; //P3口在存储器中的地址是b0H,通过sfr可定义8051内核单片机 //的所有内部8位特殊功能寄存器,对地址x的操作也就是对P1口的操作 / 函数功能:延时一段时间 /

void delay(void) { unsigned char i,j; for(i=0;i<250;i++) for(j=0;j<250;j++) ; //利用循环等待若干机器周期,从而延时一段时间} / 函数功能:主函数 / void main(void) { while(1) { x=0xfe; //第一个灯亮 delay(); //调用延时函数 x=0xfd; //第二个灯亮 delay(); //调用延时函数 x=0xfb; //第三个灯亮 delay(); //调用延时函数 x=0xf7; //第四个灯亮 delay(); //调用延时函数

单片机原理与C51语言程序设计与基础教程 课后习题答案

单片机原理与C51语言程序设计与基础教 程课后习题答案 习题 填空题 1.一般而言,微型计算机包括、、、四个基本组成部分。 2.单片机是一块芯片上的微型计算机。以为核心的硬件电路称为单片机系统,它属于地应用范畴。 3.Atmel 公司生产的CMOS型51系列单片机,具有内核,用 代替ROM作为程序存储器, 4.单片机根据工作温度可分为、和三种。民用级的温度范围是0℃一70℃,工业级是-40℃~85℃,军用级是-55℃-125℃(不同厂家的划分标推可能不同。 5.在单片机领域内,ICE的含义是。 选择题 1.单片机的工作电压一般为V? A 5V B 3V C 1V D 4V 2.单片机作为微机的一种,它具有如下特点: A 具有优异的性能价格比 B 集成度高、体积小、可靠性高 C 控制功能强,开发应用方便 D 低电压、低功耗。 3.民用级单片机的温度范围是: A -40℃~85℃ B 0℃一70℃ C -55℃-125℃ D 0℃一50℃ 4.MCS-51系列单片机最多有个中端源。 A 3 B 4 C 5 D 6 5.下列简写名称中不是单片机或单片机系统的是 A MCU B SCM C ICE D CPU 问答题 1.单片机常用的应用领域有哪些? 2.我们如何学习单片机这么技术? 3.单片机从用途上可分成哪几类?分别由什么用处? 答案

填空题 1.运算器、控制器、存储器、输入输出接口 2.单片机嵌入式系统 3.MCS-51 Flash ROM 4.民用级(商业级) 工业级军用级 5.在线仿真器 选择题 1.A 2.ABCD 3.B 4.C 5.D 问答题 1.单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴: (1)在智能仪器仪表上的应用 单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。采用单片机控制使得仪器仪表数字化、智能化、微型化,且功能比起采用电子或数字电路更加强大。例如精密的测量设备(功率计,示波器,各种分析仪)。 (2)在工业控制中的应用 用单片机可以构成形式多样的控制系统、数据采集系统。例如工厂流水线的智能化管理,电梯智能化控制、各种报警系统,与计算机联网构成二级控制系统等。 (3)在家用电器中的应用 可以这样说,现在的家用电器基本上都采用了单片机控制,从电饭褒、洗衣机、电冰箱、空调机、彩电、其他音响视频器材、再到电子秤量设备,五花八门,无所不在。 (4)在计算机网络和通信领域中的应用 现代的单片机普遍具备通信接口,可以很方便地与计算机进行数据通信,为在计算机网络和通信设备间的应用提供了极好的物质条件,现在的通信设备基本上都实现了单片机智能控制,从手机,电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话,集群移动通信,无线电对讲机等。 (5)单片机在医用设备领域中的应用 单片机在医用设备中的用途亦相当广泛,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等等。 此外,单片机在工商,金融,科研、教育,国防航空航天等领域都有着十分广泛的用途。 2.首先,大概了解单片机的机构,例如本书的第2章则是主要讲了单片机的内部结构以及资源。对单片机的内部结构有了初步了解之后,读者就可以进行简单的实例练习,从而加深对单片机的认识。 其次,要有大量的实例练习。其实,对于单片机,主要是软件设计,也就是编程。目前最流行的用于51系列单片机地编程软件是Keil。Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境(uVision)将这些部份组合在一起。掌握这一软件的使用对于使用51系列单片机的爱好

51单片机50个实例代码

51单片机50个例程代码程序里有中断,串口等驱动,直接复制即可使用1-IO输出-点亮1个LED灯方法1 /*----------------------------------------------- 名称:IO口高低电平控制 论坛:https://www.doczj.com/doc/db18299937.html, 编写:shifang 日期:2009.5 修改:无 内容:点亮P1口的一个LED灯 该程序是单片机学习中最简单最基础的, 通过程序了解如何控制端口的高低电平 ------------------------------------------------*/ #include //包含头文件,一般情况不需要改动, //头文件包含特殊功能寄存器的定义 sbit LED=P1^0;// 用sbit 关键字定义LED到P1.0端口, //LED是自己任意定义且容易记忆的符号 /*------------------------------------------------ 主函数 ------------------------------------------------*/ void main (void) { //此方法使用bit位对单个端口赋值 LED=1; //将P1.0口赋值1,对外输出高电平 LED=0; //将P1.0口赋值0,对外输出低电平 while (1) //主循环 { //主循环中添加其他需要一直工作的程序 } } 2-IO输出-点亮1个LED灯方法2 /*-----------------------------------------------

名称:IO口高低电平控制 论坛:https://www.doczj.com/doc/db18299937.html, 编写:shifang 日期:2009.5 修改:无 内容:点亮P1口的一个LED灯 该程序是单片机学习中最简单最基础的, 通过程序了解如何控制端口的高低电平 ------------------------------------------------*/ #include //包含头文件,一般情况不需要改动, //头文件包含特殊功能寄存器的定义 /*------------------------------------------------ 主函数 ------------------------------------------------*/ void main (void) { //此方法使用1个字节对单个端口赋值 P1 = 0xFF; //P1口全部为高电平,对应的LED灯全灭掉, //ff换算成二进制是1111 1111 P1 = 0xfe; //P1口的最低位点亮,可以更改数值是其他的灯点亮 //0xfe是16进制,0x开头表示16进制数, //fe换算成二进制是1111 1110 while (1) //主循环 { //主循环中添加其他需要一直工作的程序 } } 3-IO输出-点亮多个LED灯方法1 /*----------------------------------------------- 名称:IO口高低电平控制 论坛:https://www.doczj.com/doc/db18299937.html, 编写:shifang 日期:2009.5 修改:无 内容:点亮P1口的多个LED灯

51单片机仿真实例

51单片机仿真实例 l示例6—扫描与判断—(单端口)位扫描与字节(多端口)扫描 这是一个常用程序段—子程序—标准程序, 通过端口扫描而获得输入数据或控制输出数据,达到节 省位或字节的目的—节约硬件资源。 关于扫描,可以从位及其取反实现流水灯着手来理解。 可以通过移位实现流水灯—扫描。可以通过字节数据(01H,02H,04H,08H,10H,20H,40H,80H—阳极管)的端口发送实现流水灯—扫描。可以通过数据表(DB01H,02H,04H,08H,10H,20H,40H,80H—阴极管)实现流水灯—扫描。可以通过循环跳转实现流水灯—扫描。扫描注意入比出查—获得输入要比 较数据、发送输出要检查出口 扫描获取端口字节 扫描输出位移动产生动态效果(01、02、04、08、10、20、40、80),可以用字节表 扫描输出字符字节,通过延时可以变换字符以便造成数 字进位效果

ORG 0000H START: dbufequ30h;置存储区首址tempequ40h;置缓冲区首址org 00h mov 30h,#2 ;存入数据mov 31h,#0 mov 32h,#1 mov 33h,#0 mov 34h,#7 mov 35h,#1 mov r0,#dbuf mov r1,#temp

mov r2,#6;六位显示器 mov dptr,#segtab ;段码表首地址dp00:mov a,@r0 ;取要显示的数据 movc a,@a+dptr ;查表取段码mov @r1,a;段码暂存 inc r1 inc r0 djnz r2,dp00 disp0:mov r0,#temp;显示子程序mov r1,#6;扫描6次 mov r2,#01h;从第一位开始 dp01:mov a,@r0 mov p2,a;段码输出 mov a,r2 ;取位码 mov p1,a;位码输出 acall delay;调用延时 mov a,r2 rl a mov r2,a inc r0 djnz r1,dp01

C51单片机实战100例

目录 目录 1 函数的使用和熟悉 6 实例3:用单片机控制第一个灯亮7 实例4:用单片机控制一个灯闪烁:认识单片机的工作频率7 实例5:将P1口状态分别送入P0、P2、P3口:认识I/O口的引脚功能8

实例6:使用P3口流水点亮8位LED 9 实例7:通过对P3口地址的操作流水点亮8位LED 11 实例8:用不同数据类型控制灯闪烁时间13 实例9:用P0口、P1 口分别显示加法和减法运算结果15 实例10:用P0、P1口显示乘法运算结果15 实例11:用P1、P0口显示除法运算结果16 实例12:用自增运算控制P0口8位LED流水花样17 实例13:用P0口显示逻辑"与"运算结果18 实例14:用P0口显示条件运算结果18 实例15:用P0口显示按位"异或"运算结果 19 实例16:用P0显示左移运算结果19 实例17:"万能逻辑电路"实验20 实例18:用右移运算流水点亮P1口8位LED 20 实例19:用if语句控制P0口8位LED的流水方向 22 实例20:用swtich语句的控制P0口8位LED的点亮状态23 实例21:用for语句控制蜂鸣器鸣笛次数25 实例22:用while语句控制LED 27 实例23:用do-while语句控制P0口8位LED流水点亮29 实例24:用字符型数组控制P0口8位LED流水点亮30 实例25:用P0口显示字符串常量 32 实例26:用P0 口显示指针运算结果33 实例27:用指针数组控制P0口8位LED流水点亮34

实例28:用数组的指针控制P0 口8 位LED流水点亮35 实例29:用P0 、P1口显示整型函数返回值37 实例30:用有参函数控制P0口8位LED流水速度38 实例31:用数组作函数参数控制流水花样40 实例32:用指针作函数参数控制P0口8位LED流水点亮41 实例33:用函数型指针控制P1口灯花样44 实例34:用指针数组作为函数的参数显示多个字符串45 实例35:字符函数ctype.h应用举例48 实例36:内部函数intrins.h应用举例48 实例37:标准函数stdlib.h应用举例49 实例38:字符串函数string.h应用举例 51 实例39:宏定义应用举例2 52 实例40:宏定义应用举例2 52 实例41:宏定义应用举例3 53 中断、定时器54 实例42:用定时器T0查询方式P2口8位控制LED闪烁54 实例43:用定时器T1查询方式控制单片机发出1KHz音频55 实例44:将计数器T0计数的结果送P1口8位LED显示56 实例45:用定时器T0的中断控制1位LED闪烁57 实例46:用定时器T0的中断实现长时间定时59 实例47:用定时器T1中断控制两个LED以不同周期闪烁60 实例48:用计数器T1的中断控制蜂鸣器发出1KHz音频62

51单片机仿真100实例

《单片机C语言程序设计实训100例—基于8051+Proteus仿真》案例 第01 篇基础程序设计 01 闪烁的LED /* 名称:闪烁的LED 说明:LED按设定的时间间隔闪烁 */ #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { while(1) { LED=~LED; DelayMS(150); } } 02 从左到右的流水灯 /* 名称:从左到右的流水灯 说明:接在P0口的8个LED 从左到右循环依次点亮,产生走 马灯效果 */ #include #include #define uchar unsigned char #define uint unsigned int

//延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { P0=0xfe; while(1) { P0=_crol_(P0,1); //P0的值向左循环移动 DelayMS(150); } } 03 8只LED左右来回点亮 /* 名称:8只LED左右来回点亮 说明:程序利用循环移位函数_crol_和_cror_形成来回滚动的效果*/ #include #include #define uchar unsigned char #define uint unsigned int //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { uchar i; P2=0x01; while(1) {

51单片机模块化编程设计与实例要点分析

模块化编程设计题 一、简述模块化编程的必要性(模块化的优点) 参考答案: 大多数的编程学习者一开始接触和学习到的程序很小,代码量很少,甚至只有几十行。对于这样短小的程序进行模块化设计不是完全必要的。很多情况下程序模块化设计需要“浪费”很多时间,例如增加了代码的数量,增加了构思的时间。把所有的程序代码都写在一个main()函数中程序完全可以运行。 但是随着学习的深入,代码量的增加,将所有的代码都放在同一个.C文件中的做法越发使得程序结构混乱,虽然可以运行,但是可读性、可移植性变差。即使是自己写的程序,时间长以后对程序的阅读和修改也要花一些时间。模块化编程使得程序的组织结构更加富有层次感,立体感和降低程序的耦合度。 在大规模程序开发中,一个程序由很多个模块组成,很可能,这些模块的编写任务被分配到不同的人。几乎所有商用程序都必须使用模块化程序设计理念。在程序的设计过程中各个开发者分工合作,分别完成某一模块特定的功能,减少开发时间等。 二、模块化编程设计步骤 (1)、创建头文件 在模块化编程中,往往会有多个C文件,而且每个C文件的作用不尽相同。在我们的C 文件中,由于需要对外提供接口,因此还必须有一些函数或者是变量提供给外部其它文件进行调用。对于每一个模块都有相应的.c文件和.h文件,为了阅读调试方便,原则上.c文件和.h文件同名,如和。 (2)防重复包含 例如文件 #ifndef__DELAY_H__ #define__DELAY_H__ void delay(uint t); #endif 假如有两个不同源文件需要调用delay(uint t)这个函数,他们分别都通过#include “”把这个头文件包含了进去。在第一个源文件进行编译时候,由于没有定义过因此#ifndef__DELAY_H__条件成立,于是定义_DELAY_H_ 并将下面的声明包含进去。在第二个文件编译时候,由于第一个文件包含时候,已经将_DELAY_H_定义过了。因此#ifndef__DELAY_H__不成立,整个头文件内容就没有被包含。假设没有这样的条件编译语句,那么两个文件都包含了delay(uint t);就会引起重复包含的错误。所以在.h文件中,为了防止出现错误都进行防重复包含。 (3)代码封装 将需要模块化的进行代码封装 头文件的作用可以称其为一份接口描述文件。其文件内部不应该包含任何实质性的函数代码。我们可以把这个头文件理解成为一份说明书,说明的内容就是我们的模块对外提供的接口函数或者是接口变量。同时该文件也包含了一些很重要的宏定义以及一些结构体的信息,离开了这些信息,很可能就无法正常使用接口函数或者是接口变量。但是总的原则是:不该让外界知道的信息就不应该出现在头文件里(不需要外部调用的函数不在头文件中申明),而外界调用模块内接口函数或者是接口变量所必须的信息就一定要出现在头文件里(需要被外部调用的函数一定要在头文件中申明),否则,外界就无法正确的调用我们提供的接口功能。

51单片机C语言编程100例

目录 实例3:用单片机控制第一个灯亮 (3) 实例4:用单片机控制一个灯闪烁:认识单片机的工作频率 (3) 实例5:将 P1口状态分别送入P0、P2、P3口:认识I/O口的引脚功能 (4) 实例6:使用P3口流水点亮8位LED (4) 实例7:通过对P3口地址的操作流水点亮8位LED (5) 实例8:用不同数据类型控制灯闪烁时间 (6) 实例9:用P0口、P1 口分别显示加法和减法运算结果 (8) 实例10:用P0、P1口显示乘法运算结果 (8) 实例11:用P1、P0口显示除法运算结果 (9) 实例12:用自增运算控制P0口8位LED流水花样 (9) 实例13:用P0口显示逻辑"与"运算结果 (10) 实例14:用P0口显示条件运算结果 (10) 实例15:用P0口显示按位"异或"运算结果 (10) 实例16:用P0显示左移运算结果 (10) 实例17:"万能逻辑电路"实验 (11) 实例18:用右移运算流水点亮P1口8位LED (11) 实例19:用if语句控制P0口8位LED的流水方向 (12) 实例20:用swtich语句的控制P0口8位LED的点亮状态 (12) 实例21:用for语句控制蜂鸣器鸣笛次数 (14) 实例22:用while语句控制LED (15) 实例23:用do-while语句控制P0口8位LED流水点亮 (16) 实例24:用字符型数组控制P0口8位LED流水点亮 (16) 实例25:用P0口显示字符串常量 (17) 实例26:用P0 口显示指针运算结果 (18) 实例27:用指针数组控制P0口8位LED流水点亮 (18) 实例28:用数组的指针控制P0 口8 位LED流水点亮 (19) 实例29:用P0 、P1口显示整型函数返回值 (20) 实例30:用有参函数控制P0口8位LED流水速度 (21) 实例31:用数组作函数参数控制流水花样 (22) 实例32:用指针作函数参数控制P0口8位LED流水点亮 (23) 实例33:用函数型指针控制P1口灯花样 (24) 实例34:用指针数组作为函数的参数显示多个字符串 (25) 实例35:字符函数ctype.h应用举例 (26) 实例36:内部函数intrins.h应用举例 (27) 实例37:标准函数stdlib.h应用举例 (27) 实例38:字符串函数string.h应用举例 (28) 实例39:宏定义应用举例2 (28) 实例40:宏定义应用举例2 (29) 实例41:宏定义应用举例3 (29) 实例42:用定时器T0查询方式P2口8位控制LED闪烁 (30) 实例43:用定时器T1查询方式控制单片机发出1KHz音频 (31) 实例44:将计数器T0计数的结果送P1口8位LED显示 (31)

51单片机交通灯实例,带仿真电路图(汇编)

ORG 0000H JMP MAIN ORG 000BH JMP INT_T0 ORG 001BH JMP INT_T1 ORG 003BH MAIN:MOV TMOD,#61H MOV TH0 ,#3CH MOV TL0 ,#0B0H MOV TH1 ,#0F9H MOV TL1 ,#0F9H SETB ET1 SETB ET0 SETB TR1 SETB TR0 SETB EA MOV P0,#0CH MOV R0,#70 MOV R1,#00H MOV R2,#35 MOV B ,#10 MOV R3,#40 MOV R4,#5 MOV R5,#0FFH JMP $ INT_T0: MOV TH0,#3CH MOV TL0,#0B0H CPL P3.0 RETI INT_T1: DEC R0 CJNE R0,#40,D1 MOV P0,#0AH MOV R1,#01H D1: CJNE R0,#39,D2 CPL P0.1 D2: CJNE R0,#38,D3 CPL P0.1 D3: CJNE R0,#37,D4 CPL P0.1 D4: CJNE R0,#36,D5 CPL P0.1

D5: CJNE R0,#35,D6 MOV P0,#21H MOV R1,#02H D6: CJNE R0,#5 ,D7 MOV P0,#11H MOV R1,#03H D7: CJNE R0,#4 ,D8 CPL P0.4 D8: CJNE R0,#3 ,D9 CPL P0.4 D9: CJNE R0,#2 ,D10 CPL P0.4 D10: CJNE R0,#1 ,D11 CPL P0.4 D11: CJNE R0,#0 ,D12 MOV P0,#0CH MOV R1,#00H MOV R0,#70 D12: CJNE R1,#00H,B1 MOV A,R0 SUBB A,R2 LCALL D_To_H MOV P1,A MOV A,R0 SUBB A,R3 LCALL D_To_H MOV P2,A B1: CJNE R1,#01H,B2 MOV P2,#00H MOV A,R0 SUBB A,R2 LCALL D_To_H MOV P1,A B2: CJNE R1,#02H,B3 MOV A,R0 LCALL D_To_H MOV P2,A MOV A,R0 SUBB A,R4 LCALL D_To_H MOV P1,A B3: CJNE R1,#03H,B4 MOV P1,#00H MOV P2,R0

基于C51单片机电子琴的设计与实现

基于C51单单片机电子琴的设计与实现 组员:周静 马帅 陈梦艳 班级:通信2班 1.设计任务与要求 (2) 2.方案论证 (3) 2.1单片机 (3) 2.2发声器 (4) 3.理论分析与计算: (4) 4.硬件电路与程序设计 (6) 4.1电路图: (6) 4.2程序框图 (6) 5.软件程序 (7) 6.总结与展望 (13) 附录1: (14) 附录2: (14)

摘要:打造一个多功能电子琴,核心是一块单片机。单片机读取键值,为内置定时器赋初值,定时器每溢出一次,驱动蜂鸣器的引脚电平就取反一次,产生相应频率的方波,即音调;设好键值与数码管段码表的对应关系,键按下时,数码管显示对应音调。 一首音乐储存在一个表中,表中的数据是音调与节拍,单片机读取音符的音调与节拍,根据音调赋予定时器初值,根据节拍决定定时器工作的时间,也就是蜂鸣器响的时间,一个接一个地读取音符,即可播放一首歌曲。 关键字:单片机电子琴蜂鸣器 1.设计任务与要求 电子琴设有8个按键,其中7个作为音符输入,另外1个作为模式转换按键,实现用户作曲。7个按键分别代表7个音符,包括中音段的全部音符。通过软硬件设计,模式转换按键触发外部中断,中断使程序跳转,实现模式转换,启动电子琴。然后通过查询电子琴所按下的按键,读取电子琴输入状态,跳转到对应的程序人口,实现自编歌曲。当需要取消电子琴编曲功能时,再次按下模式转换按键引起外部中断.即可退出电子琴功能而返回到原来音乐播放处。

2.方案论证 2.1单片机 方案一:AT89C51是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片内含4k bytes的可反复擦写的只读程序存储器(PEROM)和128 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器(CPU)和Flash存储单元,功能强大AT89C51单片机可为您提供许多高性价比的应用场合,可灵活应用于各种控制领域。 方案二:采用凌阳公司的SPCE061A 单片机作为控制器的方案。该单片机I/O资源丰富,并集成了语音功能。它整合了多个常用的功能模块,让我们在进行系统开发的时候不用外加过多的硬件就可方便的完成一个系统的设计,这就是人们常说的 SoC(System on chip)技术。耗电少,可以满足很多手提设备、掌上设备低能耗的需求,低能耗也是电子技术一直在追求的一个目标。但其价格较高。 方案三:TI公司的MSP430系列是一个特别强调超低功耗的单片机品种很适合应用于采用电池供电的长时间工作场合在这个系列中有很多个型号它们是由一些基本功能模块按不同的应用目标组合而成MSP430系列的CPU采用16位精简指令系统,集成有16位寄存器和常数发生器发挥了最高的代码效率它采用数字控制振荡器DCO使得从低功耗模式到唤醒模式的转换时间小于 6 μs. 其中

51单片机实例(含详细代码说明)

1 ?实验任务 如图4.1.1所示:在端口上接一个发光二极管L1,使L1在不停地一亮一灭, 亮一灭的时间间隔为秒。 2. 电路原理图 图 4.1.1 3. 系统板上硬件连线把“单片机系统”区域中的端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上 4. 程序设计内容 (1).延时程序的设计方法作为单片机的指令的执行的时间是很短,数量大微秒级,因此,我们要求的闪烁时间间隔为秒,相对于微秒来说,相差太大,所以我们在执行 某一指令时,插入延时程序,来达到我们的要求,但这样的延时程序是如何设计呢下面具体介绍其原理: 1 ?闪烁灯 13 FO OJADQ FO 1 /AD] FO.2/AD2 FCi 3j*AOTi PO 4/AE>4 FtJ.6fAO& i^U.7/AD7 五尿5¥尸二 7/Jk 1 J5 尸N G/-A 1 ■* J - i/JL U P2 /IfA 1 J RZ W 1 1 g 3劇in P3 L/A91 F3 G/AH F-Ji CJ/I2CD I rTZK G pj 3/1H T1 P^JS/T 1 £/暫冠 理 监 居 . ■ V 11111111 PPP沪厂JLH甘 r3Hb

如图4.1.1所示的石英晶体为12MHz因此,1个机器周期为1微秒机器周期微秒 = ___________ ___________ MOV R6,#20 2 个2 L ______ D1: MOVR7,#248 2 个2 2+ 2X 248= 498 20X =— DJNZ R7,$ 2 个2X 248 (498 DJNZ R6,D1 2 个2X 20= 40 10002 因此,上面的延时程序时间为。 由以上可知,当R6= 10、R7= 248时,延时5ms R6= 20、R7= 248时, 延时10ms,以此为基本的计时单位。如本实验要求秒=200ms 10m X R5 =200ms贝U R5= 20,延时子程序如下: DELAY: MOV R5,#20 D1: MOV R6,#20 D2: MOV R7,#248 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET

51单片机C语言学习知识编程基础学习知识及其实例

基础知识:51单片机编程基础 第一节:单数码管按键显示 第二节:双数码管可调秒表 第三节:十字路口交通灯 第四节:数码管驱动 第五节:键盘驱动 第六节:低频频率计 第七节:电子表 第八节:串行口应用 基础知识:51单片机编程基础 单片机的外部结构: 1. DIP40双列直插; 2. P0,P1,P2,P3四个8位准双向I/O引脚;(作为I/O输入时,要先输出高电平) 3. 电源VCC(PIN40)和地线GND(PIN20); 4. 高电平复位RESET(PIN9);(10uF电容接VCC与RESET,即可实现上电复位) 5. 内置振荡电路,外部只要接晶体至X1(PIN18)和X0(PIN19);(频率为主频的12倍) 6. 程序配置EA(PIN31)接高电平VCC;(运行单片机内部ROM中的程序) 7. P3支持第二功能:RXD、TXD、INT0、INT1、T0、T1 单片机内部I/O部件:(所为学习单片机,实际上就是编程控制以下I/O部件,完成指定任务) 1. 四个8位通用I/O端口,对应引脚P0、P1、P2和P3; 2. 两个16位定时计数器;(TMOD,TCON,TL0,TH0,TL1,TH1) 3. 一个串行通信接口;(SCON,SBUF) 4. 一个中断控制器;(IE,IP) 针对AT89C52单片机,头文件AT89x52.h给出了SFR特殊功能寄存器所有端口的定义。 C语言编程基础: 1. 十六进制表示字节0x5a:二进制为01011010B;0x6E为01101110。 2. 如果将一个16位二进数赋给一个8位的字节变量,则自动截断为低8位,而丢掉高8位。 3. ++var表示对变量var先增一;var—表示对变量后减一。 4. x |= 0x0f;表示为x = x | 0x0f; 5. TMOD = ( TMOD & 0xf0 ) | 0x05;表示给变量TMOD的低四位赋值0x5,而不改变TMOD的高 四位。 6. While( 1 ); 表示无限执行该语句,即死循环。语句后的分号表示空循环体,也就是{;}

单片机编程100例

单片机编程实例100例大全 单片机编程实例 *实例1:使用P3口流水点亮8位LED #include

delay(); //调用延时函数 P3=0xf7; //第四个灯亮 delay(); //调用延时函数 P3=0xef; //第五个灯亮 delay(); //调用延时函数 P3=0xdf; //第六个灯亮 delay(); //调用延时函数 P3=0xbf; //第七个灯亮 delay(); //调用延时函数 P3=0x7f; //第八个灯亮 delay(); //调用延时函数 } / / } *实例2:通过对P3口地址得操作流水点亮8位LED #include〈reg51、h〉 //包含单片机寄存器得头文件 sfr x=0xb0; //P3口在存储器中得地址就是b0H, 通过sfr可定义8051内核单片机 //得所有内部8位特殊功能寄存器,对地址x得操作也就就是对P1口得操作 / 函数功能:延时一段时间 /

void delay(void) { unsigned char i,j; for(i=0;i<250;i++) for(j=0;j〈250;j++) ; //利用循环等待若干机器周期,从而延时一段时间} / 函数功能:主函数 / void main(void) { while(1) { x=0xfe; //第一个灯亮 delay();//调用延时函数 x=0xfd; //第二个灯亮 delay(); //调用延时函数 x=0xfb; //第三个灯亮 delay(); //调用延时函数 x=0xf7;//第四个灯亮 delay(); //调用延时函数

相关主题
文本预览
相关文档 最新文档