当前位置:文档之家› ADC0831模数转换程序

ADC0831模数转换程序

ADC0831模数转换程序
ADC0831模数转换程序

/*ADC0831模数转换程序,运行程序后,调节下电位器,你看看数据会如何变化*/

#include

#include

sbit SCL2=P1^3; //SCL2定义为P1口的第3位脚,连接ADC0831SCL脚

sbit SDA2=P1^4; //SDA2定义为P1口的第4位脚,连接ADC0831SDA脚

sbit CS2=P1^6; //CS2定义为P1口的第4位脚,连接ADC0831CS脚

sbit RST = P1^5;// DS1302片选,因这两芯片采用同一数据脚(SDA,SCL),所以我们要定义它,将DS1302片选关掉,否则发生冲突

code unsigned char table[]=

{0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};

//共阴数码管0-9表

unsigned char l_tmpdate[]={0,0,0};//定义数组变量

void delay();//延时子函数,5个空指令

void display(unsigned char *lp,unsigned char lc);//数字的显示函数;lp为指向数组的地址,lc 为显示的个数

unsigned char ad0831read(void); //定义该函数为读取ADC0831的数据

void main(void) //入口函数

{

unsigned char i=254,tmp;

RST=0;

while(1){

i++;

if(i==255){

tmp=ad0831read(); //这里为循环255个周期读取一次0831,因CPU运行比较快,没必要每次循环都去读取

i=0;

l_tmpdate[0]=tmp/100; //得到百位

tmp=tmp%100;

l_tmpdate[1]=tmp/10; //十位

l_tmpdate[2]=tmp%10; //个位//因读到的数据为8位的二进制数,即0~255,我们将其分开放入l_tmpdate数组中

}

display(l_tmpdate,3); //输出显示

}

}

void display(unsigned char *lp,unsigned char lc)//显示

{

unsigned char i; //定义变量

P2=0; //端口2为输出

P1=P1&0xF8; //将P1口的前3位输出0,对应138译门输入脚,全0为第一位数码管

for(i=0;i

P2=table[lp[i]]; //查表法得到要显示数字的数码段

delay(); //延时5个空指令

if(i==7) //检测显示完8位否,完成直接退出,不让P1口再加1,否则进位影响到第四位数据

break;

P2=0; //清0端口,准备显示下位

P1++; //下一位数码管

}

}

void delay(void) //空5个指令

{

_nop_();_nop_();_nop_();_nop_();_nop_();

}

unsigned char ad0831read(void)//请先了解ADC0831模数转换器的串口协议,再来读本函数,主要是对应时序图来理解

{ //本函数是模拟0831的串口协议进行的,当你了解用软件去模拟一个端口的协议

//以后,对于一个硬件这样的端口就简单多了unsigned char i=0,tmp=0;

SDA2=1;

CS2=0;

_nop_();

_nop_();

SCL2=0;

_nop_();

_nop_();

SCL2=1;

_nop_();

_nop_();

SCL2=0;

_nop_();

_nop_();

SCL2=1;

_nop_();

_nop_();

SCL2=0;

_nop_();

_nop_();

for(i=0;i<8;i++){

tmp<<=1;

if(SDA2)

tmp++;

SCL2=1;

_nop_();

_nop_();

SCL2=0;

_nop_();

_nop_();

}

CS2=1;

return tmp;

}

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

第八章数模和模数转换器

第八章 数模和模数转换器 一、选择题 1.用二进制码表示指定离散电平的过程称为( )。 A.采样 B.量化 C.保持 D.编码 2.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过 程称为( )。 A.采样 B.量化 C.保持 D.编码 3.不属于 A/D 转换器电路组成部分的电路是( )。 A.取样-保持电路 B.量化电路 C.编码电路 D.译码电路 4.常用的 A/D 转换电路是( )A/D 转换器。 A.逐次渐进型 B.双积分型 C.并联型 D.V-F 型 5.10 位二进制 A/D 转换器的分辨率是( )。 A.1/10 B.1/100 C.1/1023 D.1/1024 6.n 位二进制的 A/D 转换器可分辨出满量程( )的输入变化量。 A.1/(2 n +1) B.1/2 n C.1/(2 n -1) D.无法确定 7.双积分 A/D 转换器的转换时间大约在( )的范围内。 A.几十纳秒 B.几十微秒 C.几百微秒 D.几十毫秒 8.逐次逼近型 A/D 转换器的转换时间大约在( )的范围内。

A.几十纳秒 B.几十微秒 C.几百毫秒 D.几十毫秒 9.在 A/D 转换器中,已知δ是量化单位,若采用“有舍有取”方法划分 量化电平,则量化误差为( )δ。 A.1/4 B.1/2 C.1 D.2 10.以下四种转换器,( )是 A/D 转换器且转换速度最高。 A.并联比较型 B.双积分型 C.单稳态触发器 D.逐次逼近型 二、判断题 1. 采样是将时间上断续变化的模拟量, 转换成时间上连续变化的模拟量。 ( ) 2.A/D 转换过程中,必然会出现量化误差。( ) 3.A/D 转换器的二进制的位数越多,量化单位δ越小。( ) 4.双积分 A/D 转换器转换前要将电容充电。( ) 5.非线性误差主要由转换网络和运算放大器的非线性引起的。 ( ) 6.在两次采样之间,应将采样的模拟信号暂存起来,并把该模拟信号保 持到下一个脉冲到来之前。( ) 7.逐次比较型 A/D 转换器的转换速度比并联比较型快。( ) 8.双积分型 A/D 转换器速度快、精度高。( ) 三、填空题 1.D/A 转换器是把输入的 转换成与之成比例的 。

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

模数转换模块地位与作用

模数转换模块地位与作用 模数转换模块简介: DAM-6160是模数转换模块,可采集16路单端模拟信号;模块采用高性能12位AD芯片,通过电路处理及软件特殊算法,采集测量精度优于±0.2%。模块配置有RS232接口,方便与PC或PLC通信,模块配置有RS485接口,可单独与PC或PLC通信,也可以与多个485模块组网使用。DAM-6160采用逐次逼近型模数转换器,分辨率为12位,通过特殊软件处理,分辨率可达14位,测量精度优于0.2%(典型值)。用户可通过简单的命令对模块进行现场校准,提高现场测量精度。能满足大多数的工业现场及安防、智能楼宇、智能家居、电力监控、过程控制等场合。产品针对工业应用设计:通过DC-DC变换,实现测量电路和主控电路电源隔离;同时控制单元与信号采集单元采用高性能磁隔离技术实现电气隔离,与一般的光电隔离相比数据通信更快更可靠。采用485/CAN隔离电路,将通信与系统单独隔离开,消除通信设备之间共模干扰。模块配有瞬态抑制电路,能有效抑制各种浪涌脉冲,保护模块在恶劣的环境下可靠工作。 模数转换模块参数: 输入通道数:16路单端输入 输入范围:+20mA,+5V,+10V,+24V 转换速率:40次/秒(全通道) AD转换分辨率:优于12位 测量精度:±0.2%(典型值) 输入端过压保护,过流保护,并有低通滤波 常模抑制(NMR):60dB 隔离耐压:DC2500V

ESD保护:±15KV 供电范围:DC+8~+36V 地址/波特率/量程可由用户配置 支持MODBUS-RTU协议和ASCII 支持模块主动发送数据模式 支持RS485,RS232支持定制CAN RS485隔离通信 功耗:小于1W 工作温度:-40℃~+80℃ 工业级V0级防火塑料外壳保障产品应用各类环境安全 安装方式:标准DIN35导轨安装 型号输入类型通道数通讯接口 60同系列其他型号: DAM-6010模拟量1AI RS485和RS232 DAM-6020模拟量2AI RS485和RS232 DAM-6040模拟量4AI RS485和RS232 DAM-6080模拟量8AI RS485和RS232 DAM-6084模拟量、开关量8AI+4IO RS485或RS232 DAM-6044模拟量、开关量4AI+4IO RS485或RS232 DAM-6160模拟量16AI RS485和RS232 模数转换模块接线: 所谓模拟量信号是指连续的,任何时刻可为任意一个数值的信号,例如我们常见的温度、压

DAC_ADC模数及数模转换器的发展综述

DAC_ADC模数及数模转换器的发展综述 1 概述 随着数字技术,特别是计算机技术的飞速发展普及,在现代控制、通讯及检测领域中,对信号的处理广泛采用了数字计算机技术。由于系统的实际处理对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别和处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后输出的数字量往往也需要将其转换成为相应的模拟信号才能为执行机构所接收。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换电路或数模转换电路。 能将模拟信号转换成数字信号的电路,称为模数转换器(简称ADC转换器);而将能反数字信号转换成模拟信号的电路称为数模转换器(简称DAC转换器),ADC转换器和DAC 转换器已经成为计算机系统中不可缺少的接口电路。 2 数模转换电路 2.1 数模转换电路原理 数字量是用代码按数位组合起来表示的,对于有权码,每位代码都有一定的权。为了将数字量转换成模拟量,必须将每1位的代码按其权的大小转换成相应的模拟量,然后将这些模拟量相加,即可得到与数字量成正比的总模拟量,从而实现了数字—模拟转换。这就是构成DAC转换器的基本思路。 2.2 数模转换电路的主要性能指标 DAC转换器的主要性能指标有:转换速度、转换精度、抗干扰能力等。在选用D/A转换器时,一般应根据上述几个性能指标综合进行考虑。 2.3 二进制加权架构 从概念上讲,最简单的DAC采用的是二进制加权架构,在该架构中,将n个二进制加权元件(电流源、电阻器或电容器)进行组合以提供一个模拟输出(n = DAC分辨率)。这种架构虽然最大限度地减少了数字编码电路,但MSB和LSB加权之间的差异却随着分辨率的增加而增大,从而使得元件的精确匹配变得很困难。采用该架构的高分辨率DAC不仅难以制造,而且还对失配误差很敏感。 2.4 开尔文(Kelvin)分压器架构

第8章-数模和模数转换习题解答

思考题与习题 8-1 选择题 1)一输入为十位二进制(n=10)的倒T 型电阻网络DAC 电路中,基准电压REF V 提供电流为 b 。 A. R V 10REF 2 B. R V 10REF 22? C. R V REF D. R V i )2(REF ∑ 2)权电阻网络DAC 电路最小输出电压是 b 。 A. LSB 21V B. LSB V C. MSB V D. MSB 2 1V 3)在D/A 转换电路中,输出模拟电压数值与输入的数字量之间 a 关系。 A.成正比 B. 成反比 C. 无 4)ADC 的量化单位为S ,用舍尾取整法对采样值量化,则其量化误差m ax ε= b 。 A.0.5 S B. 1 S C. 1.5 S D. 2 S 5)在D/A 转换电路中,当输入全部为“0”时,输出电压等于 b 。 A.电源电压 B. 0 C. 基准电压 6)在D/A 转换电路中,数字量的位数越多,分辨输出最小电压的能力 c 。 A.越稳定 B. 越弱 C. 越强 7)在A/D 转换电路中,输出数字量与输入的模拟电压之间 a 关系。 A.成正比 B. 成反比 C. 无 8)集成ADC0809可以锁存 8 模拟信号。 A.4路 B. 8路 C. 10路 D. 16路 5)双积分型ADC 的缺点是 a 。 A.转换速度较慢 B. 转换时间不固定 C. 对元件稳定性要求较高 D. 电路较复杂 8-2 填空题 1)理想的DAC 转换特性应是使输出模拟量与输入数字量成__正比__。转换精度是指DAC 输出的实际值和理论值__之差_。 2)将模拟量转换为数字量,采用 __A/D__ 转换器,将数字量转换为模拟量,采用__D/A_____ 转换器。 3)A/D 转换器的转换过程,可分为采样、保持及 量化 和 编码 4个步骤。 4)A/D 转换电路的量化单位位S ,用四舍五入法对采样值量化,则其m ax ε= 0.5s 。 5)在D/A 转换器的分辨率越高,分辨 最小输出模拟量 的能力越强;A/D 转换器的分辨率越高,分辨 最小输入模拟量 的能力越强。 6)A/D 转换过程中,量化误差是指 1个LSB 的输出变所对应的模拟量的范围 ,量化误差是 不可 消除的。

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

∑-△模数转换器工作原理

∑-△ADC工作原理 越来越多的应用,例如过程控制、称重等,都需要高分辨率、高集成度和低价格的ADC、新型∑-△转换技术恰好可以满足这些要求。然而,很多设计者对于这种转换技术并不十分了解,因而更愿意选用传统的逐次比较ADC。∑-△转换器中的模拟部分非常简单(类似于一个1bit ADC),而数字部分要复杂得多,按照功能可划分为数字滤波和抽取单元。由于更接近于一个数字器件,∑-△ADC的制造成本非常低廉。 一、∑-△ADC工作原理 要理解∑-△ADC的工作原理,首先应对以下概念有所了解:过采样、噪声成形、数字滤波和抽取。 1.过采样 首先,考虑一个传统ADC的频域传输特性。输入一个正弦信号,然后以频率fs采样-按照Nyquist 定理,采样频率至少两倍于输入信号。从FFT分析结果可以看到,一个单音和一系列频率分布于DC到fs /2间的随机噪声。这就是所谓的量化噪声,主要是由于有限的ADC分辨率而造成的。单音信号的幅度和所有频率噪声的RMS幅度之和的比值就是信号噪声比(SNR)。对于一个Nbit ADC,SNR可由公式:SNR=6.02N+1.76dB得到。为了改善SNR和更为精确地再现输入信号,对于传统ADC来讲,必须增加位数。 如果将采样频率提高一个过采样系数k,即采样频率为Kfs,再来讨论同样的问题。FFT分析显示噪声基线降低了,SNR值未变,但噪声能量分散到一个更宽的频率范围。∑-△转换器正是利用了这一原理,具体方法是紧接着1bit ADC之后进行数字滤波。大部分噪声被数字滤波器滤掉,这样,RMS噪声就降低了,从而一个低分辨率ADC, ∑-△转换器也可获得宽动态范围。 那么,简单的过采样和滤波是如何改善SNR的呢?一个1bit ADC的SNR为7.78dB(6.02+1.76),每4倍过采样将使SNR增加6dB,SNR每增加6dB等效于分辨率增加1bit。这样,采用1bit ADC进行64倍过采样就能获得4bit分辨率;而要获得16bit分辨率就必须进行415倍过采样,这是不切实际的。∑-△转换器采用噪声成形技术消除了这种局限,每4倍过采样系数可增加高于6dB的信噪比。 2.噪声成形 通过图1所示的一阶∑-△调制器的工作原理,可以理解噪声成形的工作机制。 图1 ∑-△调制器 ∑-△调制器包含1个差分放大器、1个积分器、1个比较器以及1个由1bit DAC(1个简单的开关,可以将差分放人器的反相输入接到正或负参考电压)构成的反馈环。反馈DAC的作用是使积分器的平均输出电压接近于比较器的参考电平。调制器输出中“1”的密度将正比于输入信号,如果输入电压上升,比较器必须产生更多数量的“1”,反之亦然。积分器用来对误差电压求和,对于输入信号表现为一个低通滤波器,而对于量化噪声则表现为高通滤波。这样,大部分量化噪声就被推向更高的频段。和前面的简单过采样相比,总的噪声功率没有改变,但噪声的分布发生了变化. 现在,如果对噪声成型后的∑-△调制器输出进行数字滤波,将有可能移走比简单过采样中更多的噪声。这种调制器(一阶)在每两倍的过采样率下可提供9dB的SNR改善。

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

模数转换器工作原理、类型及主要技术指标

模数转换器工作原理、类型及主要技术指标 模数转换器(Analog to Digital Converter,简称A/D转换器,或ADC),通常是将模拟信号转变为数字信号。作为模拟电路中重要的元器件,本文将会介绍模数转换器的原理、分类及技术指标等基础知识。 ADC的发展随着电子技术的迅速发展以及计算机在自动检测和自动控制系统中的广泛应用,利用数字系统处理模拟信号的情况变得更加普遍。数字电子计算机所处理和传送的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号的模拟量后,需经模/数转换变成数字信号才可输入到数字系统中进行处理和控制,因而作为把模拟电量转换成数字量输出的接口电路-A/D转换器是现实世界中模拟信号向数字信号的桥梁,是电子技术发展的关键和瓶所在。 自电子管A/D转换器面世以来,经历了分立半导体、集成电路数据转换器的发展历程。在集成技术中,又发展了模块、混合和单片机集成数据转换器技术。在这一历程中,工艺制作技术都得到了很大改进。单片集成电路的工艺技术主要有双极工艺、CMOS工艺以及双极和CMOS相结合的BiCMOS工艺。模块、混合和单片集成转换器齐头发展,互相发挥优势,互相弥补不足,开发了适用不同应用要求的A/D和D/A转换器。近年来转换器产品已达数千种。 ADC原理D/A转换器是将输入的二进制数字量转换成模拟量,以电压或电流的形式输出。 模数转换一般要经过采样、保持和量化、编码这几个步骤。 ADC的主要类型目前有多种类型的ADC,有传统的并行、逐次逼近型、积分型ADC,也有近年来新发展起来的-型和流水线型ADC,多种类型的ADC各有其优缺点并能满足不同的具体应用要求。低功耗、高速、高分辨率是新型的ADC的发展方向,同时ADC的这一发展方向将适应现代数字电子技术的发展。 并行比较ADC 并行比较ADC是现今速度最快的模/数转换器,采样速率在1GSPS以上,通常称为闪烁

第九章 数模与模数转换电路

第九章 数模与模数转换电路 9.1 基本要求 1.熟练掌握D/A 转换器的电路结构及工作原理。 2.了解D/A 转换器的主要技术指标。 3.熟悉A/D 转换器的电路结构和工作原理。 4.了解A/D 转换器的主要技术指标。 9.2 解答示例及解题技巧 9.4 10位倒T 型电阻网络D/A 转换器如图题9.4所示,当R =R f 时: (1)试求输出电压的取值范围; (2)若要求电路输入数字量为200H 时输出电压V O =5V ,试问V REF 应取何值? 解:(1) i n i n D R R V V 2 2 1 0i 1f R E F o ∑-=-= 当R =R f 时 i i i n i n D V D V V 2 2 22 9 i 10 REF 10 i REF o ∑∑=-=- =- = 当数字量全0时: V o =0V 当数字量全1时: R E F 10 10 REF o 1024 1023)12 (2 V V V - =--= 输出电压的取值范围: 0V ~REF 1024 1023V - (2)(200)H =(1000000000)B =(512)D V 105512 10242 290 i o 10 REF -=?- =- =∑=i i D V V (LS B) (M S B )R R R R f v O 图题9.4

9.5 n 位权电阻D/A 转换器如图题9.5所示。 (1)试推导输出电压v O 与输入数字量之间的关系式; (2)如n=8,V REF =-10V ,当R f =1/8R 时,如输入数码为20H ,试求输出电压值。 O v n-1 n-2 n-3 V R EF 图题9.5 解:(1)00REF 2-n 2 REF 1-n 1 REF ) 2 () 2 ()2 (D R V D R V D R V I n n ?++?+?= --∑ )222 (0 02 2-n 1 1-n R E F D D D R V n n +++=-- i n i D R V 2 1 i R E F ∑-== i n i D R R V R I V 2 1 i f REF f o ∑-=∑- =-= (2)n=8,V REF =-10V ,当R f =1/8R 时, i n i D V 2 8 101 i o ∑-== 将输入数码 (20)H =(32)D 代入上式,得: V o =40V 9.8在图9.2-8所示的4位逐次比较型A/D 转换器中,设V REF =10V ,v I =8.26V ,试画出在时钟脉冲作用下v / O 的波形并写出转换结果。 解:D/A 转换器输出电压 i i i n i n D D V V 2 2 1022 3 i 4 1 i REF ' o ∑∑=-== = 第1个CP 脉冲作用下,D 3 D 2 D 1 D 0=1000,V 581610'o =?= V ,V I =8.26V ,V I >V o ’, 产生比较结果V C =1。此结果将在下一个CP 脉冲作用下存入FF 4,使Q 4=D 3=1。 第2个CP 脉冲作用下,D 3 D 2 D 1 D 0=1100,V 5.7416 10816 10'o =?+ ?= V ,V I =8.26V , V I >V o ’, 产生比较结果使V C =1。此结果将在下一个CP 脉冲作用下存入FF 3,使Q 3=D 2=1。

数字逻辑与数字电路电子体库第八章数模和模数转换器

1、AD7520为10位倒T型D/A转换器;74LS160为十进制加法计数器,V REF= —10V ,ROM 表如下表要求 <1)写出74LS160地状态转换图<一个循环); <2)对应CLK脉冲,画出74LS160地一个循环内V O地电压波形<要求标注波形对应地电压值). A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 1 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 0 1 1 0 0 1 1 1 1 0 0 1 1 + 10V

Cl K 2、下图所示电路为用D/A转换器AD7520和同步十进制计数器74LS160组成地波形 发生器电路?已知Vref= —8V,试讨论在CLK时钟作用下,输出V。地变化情况,并画出输出电V。地波形,标出波形图上各点电压地幅值.b5E2RGbCAP Cl K nnnn^

3、 下图所示电路为用 D/A 转换器AD7520和同步十六进制计数器 74LS161组成地波 形发生器电路?已知Vref= — 10V,试画出74LS161地状态转换图,讨论在CLK 时钟作用下 输出V O 地变化情况,并画出输出电V O 地波形,标出波形图上各点电压地幅值 .plEanqFDPw 4、 下图所示电路为用 D/A 转换器AD7520和同步十六进制计数器 74LS161组成地波 形发生器电路?已知Vref= — 10V,试画出74LS161地状态转换图,讨论在CLK 时钟作用下 输出V O 地变化情况,并画出输出电 V O 地波形,标出波形图上各点电压地幅值 .DXDiTa9E3d 74LSI61 LD R D Q EI Q 】Q 】Q .; CLK a CLK >CLK 74LSI61 LD R D n 1 11 da 山 d? 必i 必 d] cl r 0地开1 A MTTI ^REF T " CLK

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

AD_DA原理及主要技术指标

一.产生原因 随着现代科学技术的迅猛发展特别是数字系统已广泛应用于各种学科领域及日常生活微型计算机就是一个典型的数学系统。但是数字系统只能对输入的数字信号进行处理其输出信号也是数字信号。而在工业检测控制和生活中的许多物理量都是连续变化的模仿量如温度、压力、流量、速度等这些模拟量可以通过传感器或换能器变成与之对应的电压、电流或频率等电模拟量。为了实现数字系统对这些电模拟量进行检测、运算和控制就需要一个模拟量与数字量之间的相互转换的过程。即经常需要将模拟量转换成数字量简称为AD转换完成这种转换的电路称为模数转换器(Analog to Digital Converter) 简称ADC;或将数字量转换成模拟量简称DA转换完成这种转换的电路称为数模转换器(Digital to Anal og Converter) 简称DAC图1是某微机控制系统框图。 二.ADC和DAC基本原理及特点 2.1 模数转换器(ADC)的基本原理 模拟信号转换为数字信号一般分为四个步骤进行即取样、保持、量化和编码。前两个步骤在取样-保持电路中完成后两步骤则在ADC中完成。 常用的ADC有积分型、逐次逼近型、并行比较型/串并行型、Σ -Δ调制型、电容阵列逐次比较型及压频变换型。下面简要介绍常用的几种类型的基本原理及特点: 1)积分型(如TLC7135) 。 积分型ADC工作原理是将输入电压转换成时间或频率,然后由定时器/计数器获得数字值。其长处是用简朴电路就能获得高分辨率,但缺点是由于转换精度依靠于积分时间因此转换速率极低。 初期的单片ADC大多采用积分型,现在逐次比较型已逐步成为主流。双积分是一种常用的AD 转换技术具有精度高,抗干扰能力强等优点。但高精度的双积分AD芯片价格较贵,增加了单片机系统的成本。 2)逐次逼近型(如TLC0831) 。 逐次逼近型AD由一个比较器和DA转换器通过逐次比较逻辑构成从MSB开始顺序地对每一位将输入电压与内置DA转换器输出进行比较经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低在低分辨率( 12位)时价格很高。 3)并行比较型/串并行比较型(如TLC5510) 。 并行比较型AD采用多个比较器仅作一次比较而实现转换又称FLash型。由于转换速率极高n位的转换需要2n - 1个比较器因此电路规模也极大价格也高只适用于视频AD 转换器等速度非凡高的领域。串并行比较型AD结构上介于并行型和逐次比较型之间最典型的是由2个n /2位的并行型AD转换器配合DA转换器组成用两次比较实行转换所以称为Halfflash型。 二.4)Σ-Δ调制型(如AD7701) 。 Σ- Δ型ADC以很低的采样分辨率( 1位)和很高的采样速率将模拟信号数字化通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-Δ型ADC的电路结构是由非常简单的模拟电路和十分复杂的数字信号处理电路构成。 5)电容阵列逐次比较型。 电容阵列逐次比较型AD在内置DA转换器中采用电容矩阵方式也可称为电荷再分配型。一般的

AD-DA转换技术的发展历程及其趋势

AD/DA转换技术的发展历程及其趋势 引 言 随着电子产业数字化程度的不断发展,逐渐形成了以数字系统为主体的格局。A/D转换器作为模拟和数字电路的接口,正受到日益广泛的关注。随着数字技术的飞速发展,人们对A/D转换器的要求也越来越高,新型的模拟/数字转换技术不断涌现。本文着重介绍了当前几种常用的模拟/数字转换技术;并通过对数字技术发展近况的分析,探讨了模拟/数字转换技术未来的发展趋势。 A/D转换器的发展历史 计算机、数字通讯等数字系统是处理数字信号的电路系统。然而,在实际应用中,遇到的大都是连续变化的模拟量,因此,需要一种接口电路将模拟信号转换为数字信号。A/D转换器正是基于这种要求应运而生的。1970年代初,由于MOS工艺的精度还不够高,所以模拟部分一般采用双极工艺,而数字部分则采用MOS工艺,而且模拟部分和数字部分还不能做在同一个芯片上。因此,A/D转换器只能采用多芯片方式实现,成本很高。1975年,一个采用NMOS工艺的10位逐次逼近型A/D转换器成为最早出现的单片A/D转换器。 1976年,出现了分辨率为11位的单片CMOS积分型A/D转换器。此时的单片集成A/D 转换器中,数字部分占主体,模拟部分只起次要作用;而且,此时的MOS工艺相对于双极工艺还存在许多不足。1980年代,出现了采用BiCMOS工艺制作的单片集成A/D转换器,但是工艺复杂,成本高。随着CMOS工艺的不断发展,采用CMOS工艺制作单片A/D转换器已成为主流。这种A/D转换器的成本低、功耗小。1990年代,便携式电子产品的普遍应用要求A/D转换器的功耗尽可能地低。当时的A/D转换器功耗为mW级,而现在已经可以降到μW级。A/D转换器的转换精度和速度也在不断提高,目前,A/D转换器的转换速度已达到数百MSPS,分辨率已经达到24位。 模拟/数字转换技术的发展现状 通常,A/D转换器具有三个基本功能:采样、量化和编码。如何实现这三个功能,决定了A/D转换器的电路结构和工作性能。A/D转换器的类型很多,下面介绍几种目前常用的模拟/

第七章 数模和模数转换电路

第七章数/模(D/A)和模/数(A/D)转换电路 教学目的:1.掌握权电阻D/A转换器和逐次逼近型A/D转换器的工作原理、特点,输入与输出之间的关系 2.了解影响精度及速度的因素 3.了解D/A转换器典型芯DAC0832的特点及应用。 4. 了解A/D转换器典型芯ADC0809的特点及应用 教学重点:倒T型电阻网络D/A转换器的工作原理; A/D转换的一般步骤; 逐次逼近型A/D转换器的工作原理。 教学难点:D/A转换器的工作原理;A/D转换器内部电路结构、工作原理 教学方法:教学过程采用理论讲解方式。 学时分配:4学时 教学内容: D/A转换器及A/D转换器的种类很多,本章介绍常用的权电阻网络D/A转换器,倒T 型电阻网络D/A转换器等几种类型;逐次逼近型A/D转换器,双积分型A/D转换器。并介绍了D/A转换器和A/D转换器的技术指标及应用。 第一节数/模转换器DAC 一、数/模转换器的基本概念 把数字信号转换为模拟信号称为数-模转换,简称D/A(Digital to Analog)转换,实现D/A转换的电路称为D/A转换器,或写为DAC(Digital –Analog Converter)。 随着计算机技术的迅猛发展,人类从事的许多工作,从工业生产的过程控制、生物工程到企业管理、办公自动化、家用电器等等各行各业,几乎都要借助于数字计算机来完成。但是,计算机是一种数字系统,它只能接收、处理和输出数字信号,而数字系统输出的数字量必须还原成相应的模拟量,才能实现对模拟系统的控制。数-模转换是数字电子技术中非常重要的组成部分。 把模拟信号转换为数字信号称为模-数转换,简称A/D(Analog to Digital)转换;。实现A/D转换的电路称为A/D转换器,或写为ADC(Analog–Digital Converter);。D/A 及A/D转换在自动控制和自动检测等系统中应用非常广泛。 D/A转换器及A/D转换器的种类很多,这里主要介绍常用的权电阻网络D/A转换器,倒T型电阻网络D/A转换器。

相关主题
文本预览
相关文档 最新文档