当前位置:文档之家› 东华大学微机硬件课程设计实验报告

东华大学微机硬件课程设计实验报告

东华大学微机硬件课程设计实验报告
东华大学微机硬件课程设计实验报告

目录

实验1 存储器读写实验 (1)

实验2 简单I/O口扩展实验 (3)

实验3 8255并行口实验 (4)

实验4 8253定时器/计数器接口实验 (5)

实验5 8259中断控制器实验 (7)

一、基础实验部分

实验1 存储器读写实验

一、实验设备

微机实验箱、8086CPU模块。

二、实验要求

学会用使用工具软件,掌握用单步执行和断点方式运行程序,观察寄存器和内存中的数据变化等程序调试的手段。

三、实验步骤

1、实验接线:本实验无需接线。

2、编写调试程序。

3、运行实验程序,可采取单步、设置断点方式,打开内存窗口可看到内存区的变化。

四、思考题

1、①单步执行到“intram”标号的语句时,ds寄存器的数据是什么?②采用断点方式运行时执行到第一个断点处,2000H~202FH内存单元的数据是什么?③执行到第二个断点处,2000H~200FH内存单元的数据是什么?④并根据观察结果和对源程序的判读简述源程序的运行效果。

答:①ds寄存器的数据是0100H。

②2000H~202FH内存单元的数据全是00。

③2000H~200FH内存单元的数据是AA 55 AA 55 AA 55 AA 55 AA 55 AA 55 AA 55

AA 55。

④源程序的运行效果是在第一个间断点处可以把数据清零,在第二个间断点处即

可完成赋值。

2、修改程序,实现从2000H到200FH单元依次赋值

00H~0FH的功能。

答:程序如下

code segment

assume cs:code

org 0100h

start: mov ax,0100h

mov ds,ax ;数据段地址

mov es,ax

mov si,1000h ;偏移地址

mov cx,0010h ;循环次数

mov al,0 ;将al清零

intram: mov [si],al

inc si ;将偏移地址+1

inc al ;将al的值+1

loop intram

nop ;设置断点处

jmp start

code ends

end start

五、出现的问题及解决过程

序号出现的问题原因解决过程

1 不能得到内存单元的运

行结果

未在程序中设置断点在程序中设置正确断点

2 2000H到200FH单元得不

到00H~0FH

设置的循环次数不对

mov cx,0016h

将循环次数改为

mov cx,0010h

实验2 简单I/O口扩展实验

一、实验设备

微机实验箱、8086CPU模块。

二、实验要求

逻辑电平开关的状态输入74LS244,然后通过74LS273锁存输出,程序全速运行后,逻辑电平开关的状态改变应能在LED上显示出来。

三、实验步骤

1、实验接线:CS0 ?CS244;CS1?CS273;K1~K8 ?IN0~IN7;O0~O7?LED1~LED8。

2、编辑程序,单步运行,调试程序。

3、调试通过后,全速运行程序,观看实验结果。

四、思考题

将74LS244的片选信号CS244改接CS2,将74LS273的片选信号CS273改接CS3,修

改程序实现与范例程序相同的功能。

答:程序如下

assume cs:code

code segment public

org 100h

start: mov dx,04c0h ;74LS244地址

in al,dx ;读输入开关量

mov dx,04d0h ;74LS273地址

out dx,al ;输出至LED

jmp start

code ends

end start

五、出现的问题及解决过程(无)

实验3 8255并行口实验

一、实验设备

微机实验箱、8086CPU模块。

二、实验要求

8255A的A口作为输入口,与逻辑电平开关相连。8255A的B口作为输出口,与发光二极管相连。程序全速运行后,逻辑电平开关的状态改变应能在LED上显示出来。

三、实验步骤

1、实验接线:CS0?CS8255;PA0~PA7? K1~K8;PB0~PB7?LED1~LED8。

2、编程并全速或单步运行。

3、全速运行时拨动开关,观察发光二极管的变化。当开关某位置于L时,对应的发

光二极管点亮,置于H时熄灭。

四、思考题

修改接线CS2?CS8255,并要求开关置“H”(下)位置时对应LED亮,修改程序实现相应功能。

答:程序如下

assume cs:code

code segment public

org 100h

start: mov dx,04c6h ;控制寄存器地址

mov ax,90h ;设置为A口输入,B口输出

out dx,ax

start1: mov dx,04c0h ;A口地址

in ax,dx ;输入

mov dx,04c2h ;B口地址

not ax ;将ax取反

out dx,ax ;输出

jmp start1

code ends

end start

实验4 8253定时器/计数器接口实验

一、实验设备

微机实验箱、8086CPU模块、示波器。

二、实验要求

了解8253计数器的不同工作方式,掌握其初始化控制字对定时/记数效果的影响。

程序全速运行后,LED1按一定周期闪烁(周期理论值为0.2s),LED2在高频脉冲信号(约15KHz)影响下,有微弱的光亮,但无明显的周期变化现象。

三、实验步骤

1、实验连线:CS0?CS8253;OUT0?8253CLK2;OUT2?LED1;OUT1?LED2;

CLK3?8253CLK0;CLK3?8253CLK1。

2、编程调试程序。

3、全速运行,观察实验结果。

四、思考题

1、为什么说范例程序运行时LED1闪烁周期的理论值是0.2秒?在范例程序设置LED2 的最大闪烁周期是多少?请分析说明。

答:因为计数器二的初值为04h即十进制的4,那么LED1闪烁周期的理论值是t2=0.05*4=0.2。

LED2的最大闪烁周期是0.05*(15*16^3+15*16^2+15*16+15)=3276.75s

2、修改片选信号接线使CS1和CS8253连接,并要求LED1的闪烁周期变为4秒(亮2 秒,灭2秒),修改程序实现功能。

assume cs:code

code segment public

org 100h

start:mov dx,04b6h ;控制寄存器

mov ax,36h ;计数器0,方式3

out dx,ax

mov dx,04b0h

mov ax,7Ch

out dx,ax

mov ax,92h

out dx,ax ;计数值927Ch

mov dx,04b6h

mov ax,76h ;计数器1,方式3

out dx,ax

mov dx,04b2h

mov ax,32h

out dx,ax

mov ax,0 ;计数值32h

out dx,ax

mov dx,04b6h

mov ax,0b6h ;计数器2,方式3 out dx,ax

mov dx,04b4h

mov ax,50h

out dx,ax

mov ax,0 ;计数值50h

out dx,ax

next: nop

jmp next

code ends

end start

五、出现的问题及解决过程(无)

实验5 8259中断控制器实验

一、实验设备

微机实验箱、8086CPU模块。

二、实验要求

了解中断处理的原理,掌握利用中断控制器进行中断申请和中断操作的方法。全速运行程序,由上往下拨动开关时,相应位置的LED点亮,其余LED全灭。

三、实验步骤

1、实验接线:CS0 ?CS8259;CS3 ?CS273;O0~O3?LED1~LED4;K1~K4?IR0~

IR3;INT?INT;INTA?INTA

2、编译调试程序

3、全速运行程序,拨动某一电平开关,观察LED的亮灭情况。

四、思考题

1、说明源代码8259初始化所设置的所有中断控制字的值和含义(按位说明)。

答:

ICW1:

ICW2:

ICW4:

2、修改接线IR3 P+(实验箱右下角),并修改源程序,使按实验箱右下角“Pules”

键时LED1~LED4全亮。

答:程序如下

assume cs:code

code segment public

org 100h

start: mov cx,0

start1: cli

mov dx,04a0h

mov ax,13h ;00010011b单片8259a需要ICW4

out dx,ax ;ICW1, ICW4 NEEDED

mov dx,04a2h

mov ax,80h ;10000000b

out dx,ax ;ICW2 中断类型80h

mov ax,01h;01 ;00000001b

out dx,ax ;ICW4正常EOI,非缓冲方式

mov ax,00h

out dx,ax ;OCW1, 开放所有中断

nop ;以上为8259初始化

mov ax,0

mov ds,ax

mov di,200h ;初始化中断向量表

mov ax,offset int0

mov ds:[di],ax

add di,2

mov ds:[di],100h

add di,2

mov ax,offset int1

mov ds:[di],ax

add di,2

mov ds:[di],100h

add di,2

mov ax,offset int2

mov ds:[di],ax

add di,2

mov ds:[di],100h

add di,2

mov ax,offset int3

mov ds:[di],ax

add di,2 ;上述程序为芯片8259的初始化程序

mov ds:[di],100h ;建议不熟练者不要修改

main: mov ax,0

sti ;开中断

waiting:cmp ax,0h

je waiting ;没发生中断,则等待

nop

nop

mov dx,04d0h

out dx,ax ;对应LED灯亮

mov cx,0

delay: loop delay ;数字滤波,按键去抖

jmp start1

int0: cli ;关中断,以下类推

nop ;此两行为IR0的中断服务程序

mov ax,0feh ;用户可修改

iret ;中断返回,以下类推

int1: cli

nop ;此两行为IR1的中断服务程序

mov ax,0fdh ;用户可修改

iret

int2: cli

nop ;此两行为IR2的中断服务程序

mov ax,0fbh ;用户可修改

iret

int3:cli

nop ;此两行为IR3的中断服务程序

mov ax,0f0h ;用户可修改

iret

code ends

end start

五、出现的问题及解决过程

序号出现的问题原因解决过程

1 LED1~LED4灯没反应P+端接线错误重新接线

二、综合设计实验部分

一、实验设备

微机实验箱、8086CPU模块。

二、实验要求

红绿灯的定时切换(每10秒切换一次)设置灯光测试开关K1,当K1打开(高电平)时,LED1~LED4全亮,关闭时恢复正常切换。

三、实验步骤

1、实验接线:CS0?8255CS;CS1?CS8253;CLK3?8253CLK0;OUT0?8253CLK2

OUT2?PA0,PA1,PA2,PA3;PB0?LED1;PB1?LED4;PB2?LED2;PB3?LED3;

PA4---K0

2、编辑程序,单步运行,调试程序。

3、调试通过后,全速运行程序,观看实验结果。

四、程序代码

assume cs:code

code segment public

org 100h

start:

mov dx,04b6h ;控制寄存器

mov ax,36h ;计数器0,方式3

out dx,ax

mov dx,04b0h

mov ax,7Ch

out dx,ax

mov ax,92h

out dx,ax ;计数值927Ch

mov dx,04b6h

mov ax,0b6h ;计数器2,方式3

out dx,ax

mov dx,04b4h

mov ax,90h

out dx,ax

mov ax,01h ;计数值190h

out dx,ax

mov cx,9000h ;计数

mov bl,0 ;为下面比较做准备

mov dx,04a6h ;控制寄存器地址

mov ax,90h ;设置为A口输入,B口输出 out dx,ax

start1:

mov dx,04a0h ;A口地址

in ax,dx ;输入

mov dx,04a2h ;B口地址

cmp bl,0

jnz extra ;bl≠0→extra

xor ax,0ch ;取相邻两位

mov bh,al ;赋值存数

again:

out dx,ax ;输出

cmp bl,0

jz start2

dec bl

jmp delay ;进入延时delay

delay:

loop delay

mov cx,9000h

start2:

mov dx,04a0h ;A口地址

in ax,dx

and ax,10h ;取A口PA5

cmp ax,10h ;比较是否为1

jz light ;为1进入light全亮灯

mov al,bh ;赋值取数

jmp start1

light:

mov bl,1 ;设置bl状态

mov dx,04a2h

mov ax,00h ;等全亮

out dx,ax

jmp start2

extra:

mov al,bh ;赋值取数

jmp again

code ends

end start

五、出现的问题及解决过程

序号出现的问题原因解决过程

1 4个LED灯不能交换亮灭未对ax赋值操作增添xor ax,0ch语句

2 检测4个LED灯全亮后不

能恢复之前的先后亮灭

状态

①没有保护现场

②没有延时操作

①增加extra模块,并设

置bl状态进行选择判断

②增加delay模块

六、硬件电路图

见下页。

路由器配置_实验四

路由器配置实验 专业:信息安全 班级: 姓名: 学号: 指导教师: 日期:

一、实验概述 1.1 实验目的 1. 根据网络拓扑,了解如何设计静态路由表 2. 对CISCO 路由器配置静态路由 1.2 实验内容 1.2.1 实验要求 本实验为个人实验,实现以下目标: 使用5 台电脑,配置在3 个局域网网段中,需要通过路由器的静态路由表配置,使得这5台电脑能相互通信。 5台电脑的网络结构为:

5台电脑模拟:4台电脑在一个单位的局域网内,1 台电脑在外网。4 台局域网中的电脑又被分到了两个子网中。每个子网最多10 台电脑,外网所在的网络最多100 台电脑。 以上所有电脑、路由器的IP 地址均需从一个网段中(比如:10.10.81.0/24)选取,不得使用其他IP 地址。(注意,这里的81需要根据用户自己的机器编号而变,看自己显示器上的编号是多少,这里就配为多少) 1.2.2 实验拓扑 上图中: 路由器R3 的f0/0 接口与PC1、PC2 处于10.10.81.0~10.10.81.15 网段中 路由器R2 的f0/0 接口与PC3、PC4 处于10.10.81.16~10.10.81.31 网段中 路由器R4 的f0/0 接口与PC5 处于10.10.81.128~10.10.81.255 网段中 10.10.81.0/24 网段剩余的IP 地址段为:10.10.81.32~10.10.81.127,请将这些地址合理分配到R1,R2,R3,R4 的串口S0/0,S0/1,S0/2 上,并配置静态路由,使得这5 台电脑能相互通信。

注意: 1)配置路由器串口的IP地址时,不得使用以上提到的地址段以外的地址。 2)上述拓扑中所有电脑均由路由器模拟,因此,需要在它们的f0/0 接口配置IP 地址、子网掩码,还需要配置静态路由。

微机原理课程设计电压报警器实验报告

南通大学电子信息学院 微机原理课程设计 报告书 课题名: 班级: 姓名: 学号: 指导老师: 日期: xxx

目录 1.设计目的 (2) 2.设计内容 (2) 3.设计要求 (2) 4.设计原理 (3) 5.硬件电路图 (3) 6.程序代码 (5) 7.程序及硬件系统调试情况 (19) 8.设计总结与体会 (19)

一、设计目的 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 二、设计内容 设计一个电压报警器,要求采集实验箱提供的0~5V的电压,当输入电压在3V以内,显示电压值,如2.42。当输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示。报警形式自行设计,

微机原理实验报告

西安交通大学实验报告 课程_微机与接口技术第页共页 系别__生物医学工程_________实验日期:年月日 专业班级_____组别_____交报告日期:年月日 姓名__ 学号__报告退发 ( 订正、重做 ) 同组人_教师审批签字 实验一汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH,97H,64H,BBH,7FH,0FH,D8H。编程并显示结果: 如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子

程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 99223344H = xxxxxxxxH 四、实验代码及结果 1.1、实验代码: DATA SEGMENT SZ DB 80H,03H,5AH,0FFH,97H,64H,0BBH,7FH,0FH,0D8H;存进数组 SHOW DB 'THE MAX IS: ','$' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA ;把数据的基地址赋给DS MOV DS,AX MOV DX,OFFSET SHOW ;调用DOS显示字符串 MOV AH,09H INT 21H MOV SI ,OFFSET SZ ;数组的偏移地址赋给SI MOV CX,10 ;存进数组的长度给CX MOV DH,80H ;将数组的第一个数写进DH NEXT: MOV BL,[SI] ;将数组的第一个数写进BL CMP DH,BL ;比较DH和BL中数的到校 JAE NEXT1 ;如果DH中的数大于BL中,将跳转到NEXT1 MOV DH,BL ;如果DH中的数小于BL中,将BL中的数赋给DH NEXT1: INC SI ;偏移地址加1 LOOP NEXT;循环,CX自减一直到0,DH中存数组的最大值 ;接下来的程序是将将最大值DH在屏幕上显示输出 MOV BX,02H NEXT2: MOV CL,4 ROL DH,CL ;将DH循环右移四位

微机课设实验报告

微机课程设计 数字温度计实验报告

一、题目: 上位机:完成界面设计与通讯程序 1、能够显示两个温度值,温度精度0.5度,当超出报警温度阈值时,温度 值后又提示字幕。 2、能够设定报警温度阈值 3、每隔一秒将温度值保存至文件存档。当超出报警温度阈值时,温度值后 面有提示。 4、可以对串口进行设置。 下位机:完成电路设计与控制程序 1、用两个DS18B20测温。 2、通过串口与上位机通信,并传输温度值,接受阈值设置。 3、当超出报警温度阈值时,有相应指示灯提示。 4、将当前温度显示LCD1602液晶屏上,当超出报警温度阈值时,温度值后 有提示。 二、原理 DS18B20是DALLS公司推出的“1—wire”接口的数字温度传感器,可以直接将温度转换为9~12串行信号供单片机处理。由于这种传感器只有一个IO口,是单总线串行接口,单片机可以利用串行通信将数据读出并按照LCD 的协议显示在1602液晶屏上。同时,通过PC机与单片机之间的串行通信,可以用PC机控制温度的警戒值以及记录不同时间测量的温度。 三、原理图 图3.1 LCD、18B20以及串口与单片机最小系统连接图

图3.2电源模块 四、流程图 1、上位机流程图 图4.1.1发送数据流程图图4.1.2 接受数据流程图

2、下位机流程图 图4.2.2读出温度子程序流程图 图4.2.1总流程图 图4.2.4计算温度子程序流程图

图4.2.3 温度转换流程图 图4.2.6温度值显示在LCD1602上 图4.2.5 显示数据刷新子程序 五、源程序 1、上位机程序:见附录1; 2、下位机程序:见附录2; 3、实验结果显示(上位机):见附录3。

微机实验报告(1)

《微机实验》报告 实验名称 KeilC的使用与汇编语言上机操作 指导教师刘小英 专业班级中法1201 姓名肖洋学号 U3 联系电话 一、任务要求 1.掌握KeilC环境的使用 1)字节拆分、合并:调试程序,观察相关寄存器和单元的内容。 2)数据块填充:调试程序,观察相关寄存器和单元的内容。 2. 编写两个十六位数的加法程序。 有两个十六位无符号数,分别存放在从20H和30H开始的数据区中,低八位先存,高八 位在后,和存于R3(高八位)和R4(低八位),进位位存于R2。 二、设计思路 1.字节拆分、合并程序:利用汇编语言中的 XCHD 和 SWAP 两个语句来实现将八位二进制 数拆分为两个四位二进制数并分别存储于不同的存储空间的功能,BCD 码与 30H 相或(加 上 30H)得到 ASCII 码。将两个 ASCII 码和 0FH 相与(高四位清零)得到 BCD 码,利 用 SWAP 语句将高位数放至高四位,将高位数和低位数相或可实现字节的合并。 2.数据块填充程序:将 R0 用作计数器,DPTR 用作片外数据指针,A 作为原始数据来源, 依顺序在片外的存储单元内容填充数据。利用循环语句来减少程序长度,并控制填充单 元个数为片外 100H 个。(通过 R0 的进位控制) 3.两个十六位数加法程序:把第一个十六位无符号数的地八位和高八位分别存于 20H 和 21H 中,把第二个十六位无符号数的地八位和高八位分别存于 30H 和 31H 中,对 20H 和 30H 中的两个低八位进行 ADD 加法操作,结果存于 R4 中;然后对 21H 和 31H 中的两 个高八位进行 ADDC 带进位的加法操作,结果存于 R3 中.然后将累加器 A 清零,并和#00H

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

微机实验报告

微机实验报告 标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

第一次实验 实验01 I/O端口地址译码实验 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验原理 1、实验电路如原理图所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址”输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,…… 当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,290H OUT DX,AL(或IN AL,DX) Y2输出一个负脉冲,执行下面两条指令: MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲。 (II型机) 2. 接线 II型机: I/O 地址/Y2(290H---297H) 接 D 触发器/CLK I/O 地址/Y4(2A0H---2A7H) 接 D 触发器/CD D 触发器/D 接 D 触发器/SD 接+5V D 触发器/Q 接逻辑笔或L7 I型机: I/O 地址/Y4 接 D 触发器/CLK I/O 地址/Y5 接 D 触发器/CD

…… 三、实验内容 利用负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 四、实验内容实现分析 分析实验连接图;说明实现该内容的原理;画出流程图。 五、实验程序 DATA SEGMENT OUTPORT1 EQU 290H OUTPORT2 EQU 2A0H DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA

东华大学自动控制原理实验一

各位同学请注意: 1.上机实验为1-8周,每次上机请按照第一次的座位坐。 2.请先自习上机内容,实验内容可参考指导书。 3.9号机房座位安排见后面的名单,请按机位号入座,要点名哦。 实验一典型环节的MATLAB仿真 一、实验目的 1.熟悉MATLAB桌面和命令窗口,初步了解SIMULINK功能模块的使用方法。2.通过观察典型环节在单位阶跃信号作用下的动态特性,加深对各典型环节响应曲线的理解。 3.定性了解各参数变化对典型环节动态特性的影响。 二、SIMULINK的使用 MATLAB中SIMULINK是一个用来对动态系统进行建模、仿真和分析的软件包。利用SIMULINK功能模块可以快速的建立控制系统的模型,进行仿真和调试。1.运行MATLAB软件,在命令窗口栏“>>”提示符下键入simulink命令,按Enter 键或在工具栏单击按钮,即可进入如图1-1所示的SIMULINK仿真环境下。2.选择File菜单下New下的Model命令,新建一个simulink仿真环境常规模板。

3.在simulink仿真环境下,创建所需要的系统。 以图1-2所示的系统为例,说明基本设计步骤如下:

1)进入线性系统模块库,构建传递函数。点击simulink 下的“Continuous ”,再将右边窗口中“Transfer Fen ”的图标用左键拖至新建的“untitled ”窗口。 2)改变模块参数。在simulink 仿真环境“untitled ”窗口中双击该图标,即可改变传递函数。其中方括号内的数字分别为传递函数的分子、分母各次幂由高到低的系数,数字之间用空格隔开;设置完成后,选择OK ,即完成该模块的设置。 3)建立其它传递函数模块。按照上述方法,在不同的simulink 的模块库中,建立系统所需的传递函数模块。例:比例环节用“Math ”右边窗口“Gain ”的图标。 4)选取阶跃信号输入函数。用鼠标点击simulink 下的“Source ”,将右边窗口中“Step ”图标用左键拖至新建的“untitled ”窗口,形成一个阶跃函数输入模块。 5)选择输出方式。用鼠标点击simulink 下的“Sinks ”,就进入输出方式模块库,通常选用“Scope ”的示波器图标,将其用左键拖至新建的“untitled ”窗口。 6)选择反馈形式。为了形成闭环反馈系统,需选择“Math ” 模块库右边窗口“Sum ”图标,并用鼠标双击,将其设置为需要的反馈形式(改变正负号)。 7)连接各元件,用鼠标划线,构成闭环传递函数。 8)运行并观察响应曲线。用鼠标单击工具栏中的“”按钮,便能自动运行仿真环境下的系统框图模型。运行完之后用鼠标双击“Scope ”元件,即可看到响应曲线。 三、实验原理 1.比例环节的传递函数为 221211 ()2100,200Z R G s R K R K Z R =- =-=-== 图1-3所示左侧为其对应的模拟电路右侧即为SIMULINK 的图形。

微机原理课程设计报告

微型计算机技术课程设计 指导教师: 班级: 姓名: 学号: 班内序号: 课设日期: _________________________

目录 一、课程设计题目................. 错误!未定义书签。 二、设计目的..................... 错误!未定义书签。 三、设计内容..................... 错误!未定义书签。 四、设计所需器材与工具 (3) 五、设计思路..................... 错误!未定义书签。 六、设计步骤(含流程图和代码) ..... 错误!未定义书签。 七、课程设计小结 (36)

一、课程设计题目:点阵显示系统电路及程序设计 利用《汇编语言与微型计算机技术》课程中所学的可编程接口芯片8253、8255A、8259设计一个基于微机控制的点阵显示系统。 二、设计目的 1.通过本设计,使学生综合运用《汇编语言与微型计算机技术》、《数字电子技术》等课程的内容,为今后从事计算机检测与控制工作奠定一定的基础。 2.掌握接口芯片8253、8255A、8259等可编程器件、译码器74LS138、8路同相三态双向总线收发器74LS245、点阵显示器件的使用。 3.学会用汇编语言编写一个较完整的实用程序。 4.掌握微型计算机技术应用开发的全过程,包括需求分析、原理图设计、元器件选用、布线、编程、调试、撰写报告等步骤。 三、设计内容 1.点阵显示系统启动后的初始状态 在计算机显示器上出现菜单: dot matrix display system 1.←left shift display 2.↑up shift display 3.s stop 4.Esc Exit 2.点阵显示系统运行状态 按计算机光标←键,点阵逐列向左移动并显示:“微型计算机技术课程设计,点阵显示系统,计科11302班,陈嘉敏,彭晓”。 按计算机光标↑键,点阵逐行向上移动并显示:“微型计算机技术课程设计,点阵显示系统,计科11302班,陈嘉敏,彭晓”。 按计算机光标s键,点阵停止移动并显示当前字符。 3.结束程序运行状态 按计算机Esc键,结束点阵显示系统运行状态并显示“停”。 四.设计所需器材与工具 1.一块实验面包板(内含时钟信号1MHz或2MHz)。 2.可编程芯片8253、8255、74LS245、74LS138各一片,16×16点阵显示器件一片。

微机控制技术实验报告

《微机控制技术》课程设计报告 课题:最少拍控制算法研究专业班级:自动化1401 姓名: 学号: 指导老师:朱琳琳 2017年5月21日

目录 1. 实验目的 (3) 2. 控制任务及要求 (3) 3. 控制算法理论分析 (3) 4. 硬件设计 (5) 5. 软件设计 (5) 无纹波 (5) 有纹波 (7) 6. 结果分析 (9) 7. 课程设计体会 (10)

1.实验目的 本次课程设计的目的是让同学们掌握微型计算机控制系统设计的一般步骤,掌握系统总体控制方案的设计方法、控制算法的设计、硬件设计的方法。学习并熟悉最少拍控制器的设计和算法;研究最少拍控制系统输出采样点间纹波的形成;熟悉最少拍无纹波控制系统控制器的设计和实现方法。复习单片机及其他控制器在实际生活中的应用,进一步加深对专业知识的认识和理解,使自己的设计水平、对所学知识的应用能力以及分析问题解决问题的能力得到全面提高。 2.控制任务及要求 1.设计并实现具有一个积分环节的二阶系统的最少拍有纹波控制和无纹波控制。 对象特性G (s )= 采用零阶保持器H 0(s ),采样周期T =,试设计单位阶跃,单位速度输入时的有限拍调节器。 2.用Protel 、Altium Designer 等软件绘制原理图。 3.分别编写有纹波控制的算法程序和无纹波控制的算法程序。 4.绘制最少拍有纹波、无纹波控制时系统输出响应曲线,并分析。 3.控制算法理论分析 在离散控制系统中,通常把一个采样周期称作一拍。最少拍系统,也称为最小调整时间系统或最快响应系统。它是指系统对应于典型的输入具有最快的响应速度,被控量能经过最少采样周期达到设定值,且稳态误差为定值。显然,这样对系统的闭环脉冲传递函数)(z φ提出了较为苛刻的要求,即其极点应位于Z 平面的坐标原点处。 1最少拍控制算法 计算机控制系统的方框图为: 图7-1 最少拍计算机控制原理方框图 根据上述方框图可知,有限拍系统的闭环脉冲传递函数为: ) ()(1)()()()()(z HG z D z HG z D z R z C z +==φ (1) )(1)()(11)()()(1z z HG z D z R z E z e φφ-=+== (2) 由(1) 、(2)解得:

微机原理课程设计实验报告DOC

河北科技大学 课程设计报告 学生姓名:学号: 专业班级: 课程名称: 学年学期: 指导教师: 年月

课程设计成绩评定表 学生姓名学号成绩 专业班级起止时间2011.12.24—2012.11.28 设计题目字符串动画显示 指 导 教 师 评 语 指导教师: 年月日

目录 一、课程设计的目的 (1) 二、设计题目 (1) 三、设计内容要求 (2) 四、设计成员及分工 (2) 五、课程设计的主要步骤 (2) 六、课程设计原理及方案 (3) 七、实现方法 (3) 八、实施结果 (8) 九、总结 (8) 十、体会感受 (8)

一、课程设计的目的 课程设计是以自己动手动脑,亲手设计与调试的。它将基本技能训练、基本工艺知识和创新启蒙有机结合,培养我们的实践和创新能力。课程设计的意义,不仅仅是让我们把所学的理论知识与实践相结合起来,提高自己的实际动手能力和独立思考的能力。作为信息时代的大学生,基本的动手能力是一切工作和创造的基础和必要条件。 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识解决实际工程设计和应用问题的能力的重要教学环节,它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一种较好方法。 《微机原理及应用》是一门应用性、综合性、实践性较强的课程,没有实际的有针对性的设计环节,学生就不能很好的理解和掌握所学的技术知识,更缺乏解决实际问题的能力。所以通过有针对性的课程设计,使学生学会系统地综合运用所学的理论知识,提高学生在微机应用方面的开发与设计本领,系统的掌握微机硬软件设计方法。 通过课程设计实践,不仅要培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅专业资料、工具书或参考书,掌握工程设计手段和软件工具,并能以图纸和说明书等表达设计思想和结果的能力。培养学生事实求是和严肃认真的工作态度。 通过设计过程,要求学生熟悉和掌握微机系统的软件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的系统方案论证设计、编程、软件调试、查阅资料、编写说明书等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练的熟练掌握微机系统的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的软件调试方法和步骤,熟悉微机系统的软件开发工具的使用方法。 二、设计题目

东华大学微机实验报告答案(软件)

目录 实验一DEBUG的启动及其基本命令的使用实验二内存操作数及寻址方法 实验三汇编语言程序的调试与运行 实验四查表程序设计 实验五系统功能调用 实验六分支程序设计 实验七循环程序设计 实验八子程序设计 实验九综合程序设计 实验心得体会 参考文献

实验一 DEBUG的启动及其基本命令的使用 源程序:(指导书中给出) DA TA SEGMENT STRING1 DB 'Move the cursor backward' STRING2 DB 'Move the cursor backward' MESS1 DB 'Match.',13,10,'$' MESS2 DB 'No Match.',13,10,'$' DA TA ENDS STACK SEGMENT STACK DB 40 DUP (?) STACK ENDS PROGNAM SEGMENT ASSUME CS:PROGNAM,DS:DA TA,ES:DA TA,SS:STACK MAIN PROC FAR START: PUSH DS XOR AX,AX PUSH AX MOV AX,DATA MOV DS,AX MOV ES,AX MOV AX,STACK MOV SS,AX LEA SI,STRING1 LEA DI,STRING2 CLD MOV CX,25 REPZ CMPSB JZ MA TCH LEA DX,MESS2 JMP SHORT DISP MATCH: LEA DX,MESS1 DISP: MOV AH,09h INT 21H RET MAIN ENDP PROGNAM ENDS END START 运行结果:

微机系统课程设计实验报告---交通信号灯自动控制模拟指示系统[13页].docx

微机系统课程设计实验报告

课题:交通信号灯自动控制模拟指示系统 一、课程设计目的 1.掌握CPU与各芯片管脚连接方法,提高借口扩展硬件电路 的连接能力。 2.加深对定时器、计数器和并行借口芯片的工作方式和编程 方法的理解。 3.掌握交通信号灯自动控制系统的设计思路和实现方法。 二、课程设计内容 设计并实现十字路口通信号自动控制模拟指示系统。设该路口由A、B两条通行相交而成,四个路口各设一组红、黄、绿三色信号灯,用两位数码管作倒计时显示。 三、应用系统设计方案 交通信号灯的亮灭时间及数码管显示时间可以通过8253来控制,8253的时钟源采用时钟信号发生器与分频电路提供,通过计算获得计数初值为1000。按照需要设定工作在方式3. 交通信号灯及数码管可以采用系统提供的相应模块,控制可以通过8255可编程并行借口,PA口控制红黄绿交通灯的亮灭,PB口和PC口控制时间显示数码管的段和位。PC0作为OUT1的输入。

四、系统测试结果 1.基本功能实现 (1)以秒为计时单位,两位数码管以十进制递减计数显示通行剩余时间,在递减计数为零瞬间转换。即南 北的绿灯、东西的红灯同时亮30秒,同时南北路口 数码管递减显示绿灯剩余时间;为0时,南北的黄 灯闪烁5秒钟,同时东西的红灯继续亮;南北的红 灯、东西的绿灯同时亮30秒,同时东西路口数码管 递减显示绿灯剩余时间;为0时,南北红灯继续亮, 同时东西的黄灯闪烁5秒;若不结束,则开始循环。 (2)通过键盘可以对红、黄、绿三色信号灯所亮时间再0~99内任意设定。 (3)十字路口的通行气势状态可自行设定,系统启动后自动运行,按“Q”退出。 2、发挥部分实现 (1)增加人工干预模式,在特殊情况下可通过人工干预,手动控制A、B交通灯的切换时间,并可以随时切 换为自动运行模式。 (2)增加夜间控制功能,交通灯在进入夜间模式后,A、B干道上红、绿灯均不亮,黄灯信号灯闪烁。 (3)增加红灯倒计时显示。

东华大学微机系统及原理实验报告

微机系统及应用实验 实验报告 实验一DEBUG的启动及其基本命令的使用 实验内容: 6:设堆栈指针SP=2000H,AX=3000H,BX=5000H;请仿照例程的格式编一程序段将AX和BX的内容进行交换。请用堆栈作为两寄存器交换内容的中间存储单元,用DEBUG调试程序进行汇编与调试。 写出在DEBUG状态下编写、运行步骤6所要求的程序过程(要求包含源程序)以及调试所中遇到的问题是如何解决的,并对调试过程中的问题进行分析,对执行结果进行分析。 程序清单: STACK SEGMENT STACK DB 2003H DUP(0) STACK ENDS CODE SEGMENT ASSUME CS:CODE,SS:STACK START: MOV AX,STACK MOV SS,AX MOV SP,2000H

MOV AX,3000H MOV BX,5000H PUSH AX MOV AX,BX POP BX MOV AH,4CH INT 21H CODE ENDS END START 运行及调试: 进入调试后,运行正常。 进行反汇编调试: 通过追踪指令观察SP、AX、BX变化 可知对SP、AX、BX赋值正确。

将AX入栈后 用显示内存单元内容的命令D观察堆栈段中SP为1FFF,2000的内容 [1FFF]=00 ,[2000]=30 说明已经AX=3000已经放入到堆栈段中。 结果可以看出:AX=5000,BX=3000 结果完成正确! 实验二内存操作数及寻址方法 实验内容: 1、设堆栈指针SP=2000H,AX=3000H,BX=5000H;请编一程序段将AX和BX的内容进行交换。请用堆栈作为两寄存器交换内容的中间存储单元,用字处理程序编辑程序,用masm.exe和link.exe对源程序进行汇编程和连接,用DEBUG 调试程序。 2、用masm和link 对以下程序进行汇编和连接,调试程序在DEBUG调试程序状态下进行。用单步执行的方法,分析每条指令源地址的形成过程,当数据传送完毕时,AX中的内容是什么。 程序清单如下: DATA SEGMENT

微机硬件课程设计实验报告

目录 一、基础实验部分 (1) 实验1 存储器读写实验 (1) 实验2 简单I/O口扩展实验 (3) 实验3 8255并行口实验 (4) 实验4 8253定时器/计数器接口实验 (5) 实验5 8259中断控制器实验 (7) 二、综合设计实验部分 (12)

一、基础实验部分 实验1 存储器读写实验 一、实验设备 微机实验箱、8086CPU模块。 二、实验要求 学会用使用工具软件,掌握用单步执行和断点方式运行程序,观察寄存器和内存中的数据变化等程序调试的手段。 三、实验步骤 1、实验接线:本实验无需接线。 2、编写调试程序。 3、运行实验程序,可采取单步、设置断点方式,打开内存窗口可看到内存区的变化。 四、思考题 1、①单步执行到“intram”标号的语句时,ds寄存器的数据是什么?②采用断点方式运行时执行到第一个断点处,2000H~202FH内存单元的数据是什么?③执行到第二个断点处,2000H~200FH内存单元的数据是什么?④并根据观察结果和对源程序的判读简述源程序的运行效果。 答:①ds寄存器的数据是0100H。 ②2000H~202FH内存单元的数据全是00。 ③2000H~200FH内存单元的数据是AA 55 AA 55 AA 55 AA 55 AA 55 AA 55 AA 55 AA 55。 ④源程序的运行效果是在第一个间断点处可以把数据清零,在第二个间断点处即 可完成赋值。

2、修改程序,实现从2000H 到200FH 单元依次赋值00H~0FH 的功能。 答:程序如下 code segment assume cs:code org 0100h start: mov ax,0100h mov ds,ax ;数据段地址 mov es,ax mov si,1000h ;偏移地址 mov cx,0010h ;循环次数 mov al,0 ;将al 清零 intram: mov [si],al inc si ;将偏移地址+1 inc al ;将al 的值+1 loop intram nop ;设置断点处 jmp start code ends end start 五、出现的问题及解决过程 序号 出现的问题 原因 解决过程 1 不能得到内存单元的运 行结果 未在程序中设置断点 在程序中设置正确断点 2 2000H 到200FH 单元得不 到00H~0FH 设置的循环次数不对 mov cx,0016h 将循环次数改为 mov cx,0010h

微机原理实验指导书-硬件部分(2017)

微机原理实验指导书 硬件实验部分 东华大学信息科学与技术学院信息与控制实验中心 2017.11

关于微机原理硬件部分实验的说明 硬件部分包含5个基本实验和一个综合设计实验。综合设计实验为选作部分。每个实验需完成各自的实验报告。报告内容以每项实验的思考题内容为主,不需要抄录实验指导书提供的范例程序。基本实验的报告内容具体要求为: 1、实验名称、所需设备、实验目的。 2、思考题要求。 3、有提问的思考题分析解答。 4、需要编程的思考题的程序的流程框图 5、思考题的全部源程序代码,源程序需加上必要的注释。 6、思考题的程序在调试中出现的问题及解决过程。 ●实验必须完成基础实验部分,综合设计实验选作。 ●硬件实验成绩按基本实验情况(85%)和选作实验情况(15%)综 合评定。

一、实验设备及软件介绍 第1部分硬件系统介绍 1. CPU单元及外围芯片 1)、微处理器:8086 2)、时钟频率:6MHz 3)、存储器 6264 系统RAM,地址范围 0~3FFFH,奇地址有效 6264 系统RAM,地址范围0~3FFFH,偶地址有效 27C64 系统ROM,地址范围 FC000~FFFFFH,奇地址有效 27C256 系统ROM,地址范围 FC000~FFFFFH,偶地址有效4)、可提供的对8086的基本实验 (1).简单I/O扩展实验 (2).存储器扩展实验 (4).8255可编程并口实验 (5).8253定时/计数器实验 (6).A/D0809实验 (7).D/A0832实验 (8).8250可编程串口实验 (9).8279显示器接口实验 (10).8279键盘扩展实验 (11).8259可编程中断控制器实验 5)、系统资源分配 本系统采用可编程逻辑器件(CPLD)EPM7128做地址的编译码工作,可通过芯片的JTAG接口与PC机相连,对芯片进行编程,可完成系统器件,如监控程序 存储器、用户程序存储器、数据存储器、系统显示控制器、系统串行通讯控制器 等的地址译码功能,同时也由部分地址单元经译码后输出(插孔CS0---CS5)给 用户使用,他们的地址固定,用户不可改变。 2.地址资源分配 本系统采用可编程逻辑器件(CPLD)EPM7128作为地址译码器,完成系统器件如存

程序设计课程设计实验报告

《程序设计》课程设计姓名: 学号: 班级:软件工程14班 指导教师: 成绩:

1.消除类游戏 【问题描述】 消除类游戏是深受大众欢迎的一种游戏,游戏在一个包含有n行m列的游戏棋盘上进行,棋盘的每一行每一列的方格上放着一个有颜色的棋子,当一行或一列上有连续三个或更多的相同颜色的棋子时,这些棋子都被消除。当有多处可以被消除时,这些地方的棋子将同时被消除。 【基本要求】 现在给你一个n行m列的棋盘(1≤n,m≤30),棋盘中的每一个方格上有一个棋子,请给出经过一次消除后的棋盘。 请注意:一个棋子可能在某一行和某一列同时被消除。 输入数据格式: 输入的第一行包含两个整数n,m,用空格分隔,分别表示棋盘的行数和列数。接下来n行,每行m 个整数,用空格分隔,分别表示每一个方格中的棋子的颜色。颜色使用1至9编号。 输出数据格式: 输出n行,每行m个整数,相邻的整数之间使用一个空格分隔,表示经过一次消除后的棋盘。如果一个方格中的棋子被消除,则对应的方格输出0,否则输出棋子的颜色编号。 【测试数据】 为方便调试程序,可将输入数据先写入一个文本文件,然后从文件读取数据处理,这样可避免每次运行程序时都要从键盘输入数据。 测试数据一 输出说明: 棋盘中第4列的1和第4行的2可以被消除,其他的方格中的棋子均保留。 测试数据二 输出说明: 棋盘中所有的1以及最后一行的3可以被同时消除,其他的方格中的棋子均保留。 【功能实现】 #include #include<> usingnamespacestd;

{ intm,n,i,j; inttemp; cin>>n>>m; temp=m; m=n; n=temp; int*map=newint[m*n]; int*mark=newint[m*n]; int*tmap=map; int*tmark=mark; intdif=0; ount=0; } p rintf("请输入要输入数的个数\n"); s canf("%d",&n);/*输入要输入数的个数*/ f or(i=0;idata1[j+1].number)

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

微机实验报告

《微机实验》报告 实验名称:keilc的使用与汇编语言上机操作 一、任务要求 实验目的:熟悉keilc环境,通过在keilc环境下调试字节拆分、合并程序、数据块清 零程 序、加法程序,掌握汇编语言程序的调试方法,加深对bcd码、ascii码、堆栈、寄存 器、数据指针、汇编语言指令、机器码等基本概念的理解,为后续程序编制和调试打下基础。 实验内容: 1.掌握keilc环境的使用 1)字节拆分、合并:调试e421.asm程序,观察相关寄存器和单元的内容。 2)数据块填充:调试fill.asm程序,观察相关寄存器和单元的内容。 2. 编写两个十六位数的加法程序。 有两个十六位无符号数,分别存放在从20h和30h开始的数据区中,低八位先存,高八 位在后,和存于r3(高八位)和r4(低八位),进位位存于r2。 二、设计思路 实验1中的两个实验,已经给出了程序代码,,无需再进行设计。下面阐述实验2的设计 思路: 对于该程序,在处理低位相加时,直接采用指令add,然后存数,在处理高位时,考虑 到可能存在进位,所以在相加时,应使用指令addc将被加数、加数以及低位到高位的进位相 加然后存数。 此外,在处理该问题时,还应考虑到两个十六位相加可能会出现第十七位,所以要另外 安排一个地址单元用来存放最高位的数。 三、资源分配 两个十六位无符号数,分别存放在从20h和30h开始的数据区中; 和存于r3(高4八位)和r4(低八位); 进位位存于r2。 四、流程图 四、源代码(含文件头说明、资源使用说明、语句行注释) file name: test2.asm description: 两个十六位数的加法程序 date: 2012/09/26 designed by: zhangbo source used: 20h: 加数a的低位 21h: 加数a的高位 30h: 加数b的低位 31h: 加数b的高位 r4: 和的低位 r3: 和的高位 r2: 和的进位 org 0000h ljmp main org 0000h main: clr c ;将进位位清零 mov 20h,#10h 六、程序测试方法与结果、软件性能分析

相关主题
文本预览
相关文档 最新文档