当前位置:文档之家› 基于EDA技术的MCS-51 IP核设计及其研究扩展

基于EDA技术的MCS-51 IP核设计及其研究扩展

基于EDA技术的MCS-51 IP核设计及其研究扩展
基于EDA技术的MCS-51 IP核设计及其研究扩展

河北经贸大学经济管理学院毕业论文

基于EDA技术的MCS-51 IP核设计及

其研究扩展

专业名称:电子信息工程

班级:C电子08-1班

学生姓名:窦建杰

指导教师:王琳丽

完成时间:2012年5月

摘要

伴随着微电子技术的进步,集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展,电子设计自动化(EDA)技术逐渐成为重要的电子设计方法,己广泛应用于模拟与数字电路系统设计等许多领域。本论文主要进行了基于EDA技术的MCS一51IP核设计及其扩展研究,应用EDA技术设计实现基于MCS一51的单片机IP核,在此基础之上,提出了一种基于MCS-51的可扩展多功能单片机IP核。主要包含下列内容:

首先介绍了EDA技术的概念、特点、应用形式及其设计方法,对设计所用的语言、实现载体、设计工具进行了展开。

其次,采用VHDL语言,设计实现了MCS一51单片机IP软核。同时,以Altera公司FPGA为载体,验证了各部分功能。在设计中,对MCS-51做了部分功能的改进和优化。

最后,本文针对FPGA的可重构性及IP软核的可修改性,提出对MCS-51单片机IP核迸行扩展,实现可扩展多功能单片机IP核的设想,即根据系统功能与需求增减MCS一51软核指令集和外围设备,以实现硬件结构与系统功能最佳匹配、硬件结构与工程应用要求最佳匹配的可编程片上系统。

关键词EDA;IP核;MCS一51;可扩展

Abstract

With the development of microelectronics technology ,the design of IC is ceaselessly developing in the direction of extra-large,ultra—low power consumpfion and super-high speed. Electronic Design a Automation(EDA) technology has become all important electronic design method.And it has been widely used in analog circuits design,digital circuits design and many other fields.In this dissertation.a MCS.51 IP core has been designed based on EDA technology,furthermore.a research of the extension of the MCS-51 IP has been made.Specifically, four computer interface controller IP cores and one SCM IP core are designed based on EDA technology,on this basis,an expansible,multifunctional SCM IP core is presented.This paper mainly includes following content.

Firstly,the paper introduces the concept,characteristics,applications and design techniques of EDA.Design language.FPGA and IDE which ale used in this paper are respectively introduced.

Secondly,in Quartus II 6.0 IDE four interface controller cores.Which are designed using VHDL.Further,a MCS-51 IP core is designed.At the same time,some functions have been validated on the FPGA.Some improvement and optimization are made in the design of interface controller IP core and MCS-51 IP core.

Finally,according to reconfigurable nature of FPGA and modifiable nature of IP,this dissertation presents a thought of making a multiple MCS-5 1 IP core.in which instructions and peripherals can be added easily to this core through standard interfaces.

KeyWords EDA;IP core;MCS-51;expansible

目录

1 绪论 (1)

1.1 研究背景与意义 (1)

1.2 本文主要研究内容 (2)

2 EDA技术概述 (3)

2.1 EDA技术简介 (3)

2.1.1 EDA技术的概念及其特点 (3)

2.1.2 基于EDA技术的设计方法 (4)

2.2 硬件描述语言 (5)

2.3 FPGA/CPLD (6)

2.4 IP核 (6)

2.5 设计工具一Quartus II (7)

2.6 小结 (8)

3 MCS-51 IP核的设计与实现 (9)

3.1 MCS-51系列单片机的内部结构 (9)

3.2 CPU时序的概念及8051的指令系统 (10)

3.2.1 CPU时序 (10)

3.2.2 指令系统 (11)

3.3 8051IP核的设计 (12)

3.3.1 算术逻辑模块ALU的设计与实现 (13)

3.3.2 控制单元 (14)

3.3.3 定时/计数器单元 (15)

3.3.4 串行通信单元 (16)

3.4 MCS-51 IP核的扩展 (17)

4 结论 (19)

致谢 (21)

参考文献 (22)

基于EDA技术的MCS-51 IP核设计及其研究扩展

1 绪论

1.1 研究背景与意义

现代电子产品正在以前所未有的革新速度向着功能多样化、体积最小化、功耗最低化迅速发展。它与传统电子产品设计的显著区别之一就是大量使用大规模可编程逻辑器件,以提高产品性能、缩小产品体积、降低产品消耗;区别之二就是广泛运用现代计算机技术,提高电子设计自动化程度,缩短开发周期,提高产品的竞争力。EDA技术正是为了适应现代电子产品设计的要求,吸收多学科最新成果而形成的一门新技术。所谓EDA技术,实际上是以EDA软件为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。

IP核也就是知识产权核在EDA技术开发中具有十分重要的地位。IP 技术是针对可复用的设计而言的,其本质特征是功能模块的可复用性。通常把IP核分为软IP(软核,Soft Core)、硬IP(硬核,Hard Core)和固IP(固核,Firm Core)。在具体实现手段和工艺技术尚未确定的逻辑设计阶段,软核具有最大的灵活性,它可方便地借助EDA综合工具与其它外部逻辑结合成为一体。

单片机自20世纪70年代出现以来,被广泛地应用于科研、生产、生活及其他各个领域。MCS-51单片机易于学习、掌握,性能价格比高以及以MCS-51单片机基本内核为核心的各种扩展型、增强型的单片机不断推出。因此对MCS-51单片机的IP核的设计,无论是对于一家微电子设计公司和设计人员的技术积累,掌握真实的设计经验,还是列于一个国家知识产权模块库的储备都具有重要意义。

1.2 本文主要研究内容

先设计实现了MCS-51单片机IP核,最后提出对单片机IP核进行扩展的设想。由于MCS-51单片机本身具有较强的接口能力,有时为了构成功能更强、规模更大的应用系统,就需要进行I/O口线接口电路的扩展设计。Intel公司的80/85系列接1:3电路都可直接与MCS-51接1:1。扩展后的的系统实际上就是以MCS-51为内核,可连接多种功能模块的处理器系统。

全文的组织结构如下:

在第一章中,介绍了本文的研究背景、意义和主要完成的工作。

在第二章对EDA技术进行了概述,包括EDA技术的概念和特点、设计方法,设计语言、实现载体、IP核的概念以及设计工具等。

在第三章介绍了MCS-51 IP核的设计与实现。这一部分中,在深入研究MCS-51体系结构、指令系统及IP核设计方法的基础上,按照EDA技术自顶向下的设计方法,首先将MCS-51 IP核的系统结构划分为算术逻辑单元ALU、控制单元、定时/计数器单元和串行通信单元四个功能模块,然后采用硬件描述语言与EDA工具软件分别对它们进行设计与实现,最后将它们组合起来,形成完整的MCS一51 IP核,达到设计实现基于MCS-51的单片机IP核的目标。最后提出扩展此IP核的设想。

在第四章中对本文所做工作进行了总结与展望。

2 EDA技术概述

20世纪末,电子设计技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透到社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也变得越来越快。集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展,专用集成电路ASIC的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统,即SOC。因此,设计与制造集成电路的任务变得越来越繁杂,工作量极其巨大,已不可能完全使用传统的电子设计方法来完成。而且从电子工程师的开发需求出发,他们更愿意自己设计专用集成电路ASIC芯片,同时也希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC 芯片,并且能立即投入实际应用之中,因而出现了现场可编程逻辑器件。同时,计算机技术的普及与发展也加速了电子设计自动化技术EDA的发展。这样,在现代电子系统设计领域中引入了具有划时代意义的EDA技术。现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA技术。下面先简单介绍一下EDA技术。

2.1 EDA技术简介

2.1.1 EDA技术的概念及其特点

EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA /CPLD编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、

计算机辅助工程(CAE)技术以及多种计算机语言的设计概念:而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。

EDA技术的特点有:

1.用软件的方式设计硬件:

2.软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;

3.采用自顶向下的设计方法;

4.设计过程中可用有关软件进行各种仿真;

5.系统可现场编程,在线升级;

6.整个系统可集成在一块芯片上,体积小、功耗低、可靠性高。

这就为EDA技术表达与实现现代电子理论和设计提供了可能性。

2.1.2 基于EDA技术的设计方法

基于EDA技术的设计方法属于现代电子设计的范畴,与传统的设计方法不同。SOC代表了当今电子设计技术的发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法,也称为系统级的设计。它提供了一种“自顶向下”(Top-Down)的全新的“概念驱动式”设计方法,该方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计,无需通过门级原理图描述电路。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

详细步骤如下:

1.按照“自顶向下”的设计方法进行系统划分。

2.设计输入。其中,可以采用I-IDL代码输入。这是高层次设计中最为普遍的输入方式;此外,还可以采用图形输入方式,如原理图、波形图等,这种输入方式具有直观、容易理解的优点。

3.将以上的设计输入编译成标准的HDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检查系统功能设计的正确性。因为对于大

型设计,综合、适配要花费数小时。

4.利用综合器对HDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。

5.利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。适配完成后,产生多项设计结果:

①适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等。

②适配后的仿真模型。

③器件编程/下载文件。根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如延时特性),所以仿真结果能比较精确地预测未来芯片的实际性能。如果仿真结果达不到设计要求,就需要修改HDL源代码或选择不同速度品质的器件,直至满足设计要求。

6.将适配器产生的器件编程/下载文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。

由于在本文的设计中,使用的是VHDL语言进行电路设计,使用FPGA/CPLD作为设计的实现载体,因而在下面的小节中分别加以介绍。

2.2 硬件描述语言

硬件描述语言是用文本形式来描述数字电路的内部结构和信号连接关系的一类语言,类似于一般的计算机高级语言的语言形式和结构形式。设计者可以利用HDL描述设计的电路,然后利用EDA工具进行综合和仿真,最后形成目标文件,再用ASIC或PLD等器件实现。当前使用最广泛的是VHDL语言和Verilog HDL语言。

VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。同时,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。因此,

VHDL在支持各种模式的设计方法,如自顶向下与自底向上或混合方法方面,以及在面对当今许多电子产品生命周期的缩短,需要多次重新设计以融入最新技术、改变工艺等方面都表现出了良好的适应性。用VHDL 进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。

2.3 FPGA/CPLD

FPGA现场可编程门阵列与CPLD复杂可编程逻辑器件都是可编程逻辑器件,它们是在PAL,GAL等逻辑器件的基础之上发展起来的。同以往的PAL,GAL等相比较,FPGA/CPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGA/CPLD实际上就是一个子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。当代FPGA/CPLD有以下特点;

1.规模越来越大。随着VLSI工艺的不断提高,单一芯片内部可以容纳上百万个晶体管,FPGA/CPLD芯片的规模也越来越大。

2.开发过程投资小。FPGA/CPLD设计灵活,发现错误时可直接更改设计,减少了投入风险,节省了许多潜在的花费。

3.FPGA/CPLD一般可以反复地编程、擦除。在不改变外围电路的情况下,设计不同片内逻辑就能实现不同的电路功能。

4。保密性能好。在某些场合下,根据要求选用防止反向技术CFPG/CPLD,能很好地保护系统的安全性和设计者的知识产权。5.FPGA/CPLD开发工具智能化,功能强大。现在,FPGA/CPLD 开发工具种类繁多,智能化高、功能强大。应用各种工具可以完成从输入、综合、实现到配置芯片等一系列功能。

2.4 IP核

IP就是知识产权核或知识产权模块的意思,在EDA技术和开发中具有十分重要的地位。IP设计技术是一种集成电路设计技术,是一种以

电路模块知识产权使用为核心的电子系统设计。IP技术中把不同功能的电路模块叫做IP,这些口都是经过实际制作并证明是正确的。在EDA 设计工具中把这些口组织在一个IP元件库中,供用户使用。设计电子系统时,用户需要知道IP模块的功能和技术性能,通过把不同的IP模块镶嵌在一个硅片上,形成完整的应用系统。

美国著名的Dataquest咨询公司将半导体产业的IP定义为用于ASIC 或FPGA/CPLD中的预先设计好的电路功能模块。IP可以分为软IP、固IP 和硬IP三大类。

软IP,是用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。软IP通常是以硬件描述语言HDL源文件的形式出现,应用开发过程与普通的HDL设计也十分相似,只是所需的开发软硬件环境比较昂贵。软IP的设计周期短,设计投入少。软IP的弱点是在一定程度上使后续工序无法适应整体设计,从而需要一定程度的软口修正,在性能上也不可能获得全面的优化。

固IP,是完成了综合的功能块。电子设计领域的4综合”即:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配而成的过程。固IP有较大的设计深度,以网表文件的形式提交客户使用。如果客户与固IP使用同一个IC生产线的单元库,IP应用的成功率会高得多。

硬IP提供设计的最终阶段产品是掩模。随着设计深度的提高,后续工序所需要做的事情就越少,当然,灵活性也就越小。由于通信系统越来越复杂,CPLD的设计也更加庞大,这增加了市场对IP核的需求。各大FPGA/CPLD厂家继续开发新的商品讲,并且开始提供“硬件”印,即将一些功能在出厂时就固化在芯片中。

由此可见,三种IP核各有优缺点,具体设计什么样的IP核,要根据IP核应用的范围和实现的功能等方面来决定。从国外的IP核设计和使用情况看,IP核在ASIC和现场可编程门阵列(FPGA)中使用较多。

2.5 设计工具一Quartus II

Quartus II是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大的可编程逻辑器件供应商之一。Quartus II在21世纪初推出,是Altera 前一代FPGA/CPLD集成开发环境MAX+plus II的更新换代产品,其界

面友好,使用便捷。在Quartus II上可以完成基于EDA技术的整个开发流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Altera的Quartus II提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC 开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。

Quartus II包括模块化的编译器。编译器包括的功能模块有分析/综合器)、适配器、装配器、时序分析器、设计辅助模块、EDA网表文件生成器、编辑数据接口等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool(Tools菜单),在Compiler Tool窗口中运行该模块来启动编译器模块。在Compiler Tool窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

Quartus II编译器支持的硬件描述语言有VHDL(支持VHDL87 VHDL97标准)、Verilog HDL及AHDL(Altera HDL)。Quartus II支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块(元件)进行调用,从而解决了原理图与HDL混合输入设计的问题。在设计输入之后,Quartus II的编译器将给出设计输入的错误报告。

2.6 小结

本章首先介绍了有关EDA技术的知识,包括EDA技术的概念、特点、应用形式、设计方法等。然后对于本文所用到的设计语言、工具以及实现载体也分别做了介绍。为在后续章节中,进行应用层面的讨论与研究打下基础。

3MCS-51 IP核的设计与实现

MCS一51系列单片机是继MCS-48系列之后推出的8位高档单片机。与MCS-48单片机相比,它的结构更先进,功能更强,在原来的基础上增加了更多的电路单元和指令。MCS-51单片机可以算是相当成功的产品,一直到现在,MCS-51系列及其兼容的单片机仍是应用的主流产品,也是我国日前应用最广的一种单片机系列。8051是MCS-51系列单片机的最初产品,也是MCS-51系列器件的核心。本章就是以8051为器件原型,具体分析它的结构与工作原理,然后利用VHDL语言设计以8051为内核的IP软核,并在Quartus II 6.0环境下对其进行仿真与实现。

3.1 MCS-51系列单片机的内部结构

8051单片机的内部功能图如图3.1,其各功能部件主要包括中央处理器、存储器、I/O电路、全双工串行口、定时肘数器和中断系统6个单元,它们都由内部总线紧密连在一起。下面我们分别加以说明:

图3.1 单片机的内部功能图

1.中央处理器(CPU)

中央处理器是整个单片机的核心部件,由算术逻辑单元、控制逻辑、专用寄存器组三部分组成,数据宽度是8位,能处理8位二进制数据或代码。CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。

2.存储器

程序存储器(ROM):用于存放用户程序,原始数据或表格。数据存储器(RAM):8051有数据存储单元和专用寄存器单元,专用寄存器只存控制指令数据,不存用户数据,用户只能访问。故用户可用RAM存读写数据、运算中间结果,用户定义的字型表。

3.并行输入输(I/O)口

8051共有4组8位I/0口(PO、P1、P2或P3),用于对外部数据的传输。所有4个端口都是双向口,每个端口都包含一个锁存嚣,一个输出驱动器和输入缓冲器。

4.全双工串行口

8051内置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可作异步通信收发器,亦可作同步移位器。通过设置串行口控制寄存器SCON决定串口的4种工作模式。

5.定时/计数器

8051有两个16位的可编程定时崩傲器,以实现定时或计数,产生中断用于控制程序转向。通过设置控制寄存器TMOD来决定定时/计数器如何工作。定时/计数器可以计算输入端发生的逻辑变化,也可以作为16位计数器在每一机器周期计数。

6.中断系统

8051具备较完善的中断功能,有两个外中断、两个定时/计数器中断和一个串行中断,可满足不同的控制要求,并具有2级优先级别选择。

3.2 CPU时序的概念及8051的指令系统

3.2.1 CPU时序

CPU执行一条指令的时间称为指令周期。指令周期是以机器周期为单位的。MCS一51典型的指令周期为一个机器周期。MCS-51单片机规定:一个机器周期包括6个状态S1-S6,每个状态又分两部分:相位l(PI)、

相位2(P2),即每个状态包括2个振荡周期。因此,有下式成立;

1个机器周期=6个状态=12个振荡周期

这样,一个机器周期包括编号为SIPI(状态l,相位1)到S6P2(状态6,相位2)共12个振荡周期。在每个机器周期,ALE信号两次有效,一次发生在S1P2到S2PI期间,一次发生在S4P2到S6PI期间。

8051的指令有单字节,双字节和三字节的,所以它们所需指令周期不尽相同,可能包括一到四个不等的机器周期。但是在本文所设计的8051 IP核中,并没有采用机器周期的概念,而是使用时钟周期来代替机器周期,将各条指令均设计在l到4个时钟周期内完成,以使所设计的IP0核在一个时钟周期内所要完成的任务和原有8051单片机中一个机器周期所要完成的任务基本一致。采用这种设计方法,缩短了指令的执行时间,加快了单片机的运行速度,实现了对原8051性能的优化与提高。3.2.2 指令系统

MCS-51指令系统是一种筒明、易掌握、功能强的指令系统,共有111条指令,其中单字节指令49条,双字节指令45条,三字节指令17条。按照指令实现的功能可以划分为五类,分别是:

数据传送类指令(29):实现各特殊寄存器、RAM存储单元之间的数据传送。这类指令主要涉及寄存器、RAM读/写,数据总线和地址总线的控制。另外由于8051提供位操作指令,因此在硬件上需要设计特殊的硬件逻辑以支持这类操作。

算术运算类指令(24)与逻辑运算类指令(24),实现加、减、乘、除、与、或、取反、异或、二到十进制数据转换的操作。这类指令主要在ALU 部分实现。

控制转移类指令(17):实现程序的跳转、调用、(中断)返回。操作主要涉及程序计数器的调整,给ROM提供正确的指令地址。返回和中断返回指令RET,RETl指令涉及堆栈指针SP的操作。

布尔处理(位处理)类指令(17):实现位的逻辑运算、传送以及判位跳转.基于这l11条指令,MCS-51单片机有7种寻址方式,分别是立即寻址、直接寻址,寄存器寻址、寄存器间接寻址、基址寄存器加变址寄存器的间接寻址、相对寻址和位寻址。表3.1是对MCS-51单片机的寻址方法以及相应寻址空间的简单概括。

表3.1 寻址方式与相应的寻址空间

3.3 8051IP核的设计

参照以上对8051的内部结构、外部特性以及工作原理的介绍与分析,运用EDA的自顶向下的设计方法,设计一个基于8051的IP软核。本文所设计的8051IP核的功能及指令都与传统的MCS-51单片机兼容,并且对8051的部分功能和技术指标进行了改进与优化,以下是本文所设计8051 IP核的9大主要特性:

1.全同步设计。整个系统都是在单一工作时钟的协调下工作的。

2.指令系统与工业标准的8051微控制器兼容。

3.优化的CPU时序使得完成一条指令最多只需4个时钟周期。在指令时序上,设计中尽量减少指令执行所需的时钟周期,提高微处理器的运行效率。

4,通过附加特殊功能寄存器,使定时/计数器和串行接口单元可选。由于采用模块化的设计方法,因此,有些功能模块是可选的。只需改变VHDL设计中的某些参数即可完成对可选单元的增减。

5.可选择执行乘法指令(MUL)和除法指令(DIV)。

6.可选择执行十迸制调整指令(DA)。在一些情况下,不实现那些并

不需要而且会占用更多的芯片资源的指令是有意义的。这样的指令有8位乘

法指令、8位除法指令、8位十进制调整指令。

7.没有复用的I/O端口。考虑到设计的复杂性,本设计中没有复用的I/O端口。

8.通过调整或者修改VHDL源代码可以容易的对其进行扩展。

9.通过VHDL中的常量实现了参数化。设计中的数据宽度、定时/计数器和串行口的个数都采用了参数化设计,因此,很容易扩展设计。原始的微控制器设计只提供2个定时,计数单元,1个串行接口和两个外部中断源.而本设计会提供更多的这些资源,它可以产生256个这种单元,只需稍微修改一下VHDL中的常量值。

按照自顶向下的设计方法,首先,我们把要设计的8051IP核划分成几个功能模块,然后分别对几个功能模块进行设计。我们将整个系统划分成算术逻辑模块ALU、控制模块、定时,计数模块、串行通信模块四个功能模块,而RAM和ROM在Quartus II 6.0中都有参数化的宏功能模块,因此在使用时,根据需要进行定制即可。下面将分别介绍它们的功能和实现。

3.3.1 算术逻辑模块ALU的设计与实现

算术逻辑运算模块的主要功能就是对数据进行处理与加工,即对数据进行数值运算或非数值运算,主要包括加、减、乘、除等算术运算及与、或、非、异或、移位、比较等逻辑运算。

在进行设计对,我们对算术逻辑运算模块进一步细分成几个功能相对独立的功能模块,然后分别对它们进行VHDL描述,最后再将它们组合起来,从而完成一个完整的ALU单元。我们将ALU内部分成加减法运算单元,乘法运算单元、除法运算单元、十进制调整单元、逻辑运算单元以及多路选择器单元。在ALU的结构上。我们将乘、除法单元各自独立出来来完成算术逻辑指令中的乘、除法运算。这样可以回避传统典型微处理器基于累加器的ALU结构及算术逻辑指令,从而提高逻辑指令的执行效率。设计思路是多路选择器外部连接不同的数据来源以及操作命令,根据操作命令来决定要把数据传送给哪一个运算单元进行处理,最后将处理后的数据再送出。

组成ALU的各个功能单元设计并验证无误后,我们把它们作为元

件,运用元件例化语句就可以将它们组合起来形成一个算术逻辑运算器累加器acc_i数据为12H,rom数据为FFH,ram数据为34H,进位输入为10,即CY=1,AC=0,操作命令自左向右依次为进位加命令ADDC_ACC_RAM、自加l命令INC_ACC、逻辑与AND_RAM_ROM、减法命令SUB_ACC_RAM。可以看到,仿真的结果准确无误,因此,证明了我们所设计的ALU是完全符合要求的。

3.3.2控制单元

这里的控制单元与经典CPU内部结构中的控制器相类似,它的作用有:解题程序和原始数据的输入、对指令的译码与执行、CPU内部的信息处理、处理结果的输出、外部设备与单片机之间的信息交换等都是在控制单元的指挥、协调与控制下完成的,因此,它是整个系统发布操作命令的“决策机构”。本设计中控制单元主要完成的功能为指令译码、中断判优及处理、时序控制和微操作控制等。

将控制单元分成两个功能模块来进行设计,即:control_fsm模块和control_mem模块。其中,control_fsm模块主要实现中断的响应及指令的译码并产生各指令的微操作码,主要设计方法是通过有限状态机的设计来实现相应的功能;而control_mem模块主要完成由control_fsm模块产生的微操作信号的具体操作过程

控制RAM存储器的读写地址及数据传输、PC寄存器的赋值、串口与定时器的中断信号处理,中断的查询、SFR的读写等功能。同时,这两个模块也构成了我们在设计控制单元时所用的状态机,图3.2显示了状态机的内部结构。

图3.2 状态机的内部结构图

1.control_fsm模块

control_fsm模块的主要作用是对指令译码并执行,从而实现指令系统。在设计时,我们采用的是有限状态机的纯组合逻辑的描述方法,主要是根据具体的状态来完成在各个状态下的指令译码与执行。需要说明的是,这个状态机在接收到来自control_fsm模块的有关中断源有变化的信号时,它会优先发出控制指令,指示control_fsm模块改变指令指针的值,进入中断。没有中断发生的时候,它就会根据程序存储器的指令来运作。

2.control_mem模块

control_mem模块的内部含有各种寄存器,包括程序寄存器、指令寄存器、地址寄存器、特殊功能寄存器SFR等,它的主要任务是根据状态机的相应指令,实现对存储器的相应操作。这些操作主要包括:①监测各个中断源的变化;②根据状态机的指令写一些用户没有直接地址的芯片内部辅助寄存器;③写内部RAM和SFR,包括写“字节”和写“位”;④根据检测中断源的变化的结果,在必要时向状态机发送中断请求类别指示信号;⑤读内部RAM和SFR,包括读“字节”和读“位”;⑥据状态机发出的各种多路选择器的值,输出相应的地址和数据的值。

因为control_mem模块是状态机的主控时序模块,所以,此模块是同时采用时序逻辑描述和组合逻辑插述来实现的。最后,将control_fsm 模块和control_mem模块组合装配后,就实现了控制单元的设计。

3.3.3 定时/计数器单元

MCS一51系列单片机内部有2个16位定时,计数器:TO和T1。从内部结构上看,它们分别由8位计数器TH0、TL0和THI、TLI构成,它们都是以加“l”的方式完成计数。特殊功能寄存器TMOD控制定时,计数器的工作模式,TCON控制定时/计数器的启动运行并记录TO、T1的溢出标志。对TH0、TL0和THl、TLl的初始化编程预置TO、T1的计数初值。对TMOD和TCON的初始化编程分别置入方式字和控制字,以指定其工作模式并控制T0、T1按规定的工作方式计数。

单片机中的2个定时/计数器有两种工作模式,每个定时阱数器有4种工作方式。其中方式O-2对TO和T1都是一样的,而方式3对两者是不同的。选择定时器工作模式时,计数输入信号是内部时钟脉冲,每个机器周期内定时器的计数器做一次“+l”运算。每个机器周期等于12个振荡

周期,故计数速率为振荡频率的1/12。本设计虽然不采用51系列单片机中的机器周期的概念(所有的指令均在l到4个时钟周期内完成),但仍然保证计数速率为振荡器频率的1/12。

选择计数器工作模式时,计数脉冲来自相应的外部输入引脚T0或Tl。当输入信号由l到0负跳变时,计数寄存器(TH0,TL0或THl,TLI)的值增1。每个机器周期的S5P2期间,对外部输入进行采样。如果在第一个周期中采得的值为1,而在下一个周期采得的值为0,则在紧跟着的下一个周期的S3P1期间,计数值就增1。由于确认一次下跳沿要花2个机器周期,即24个振荡周期,因此外部输入的计数脉冲的最高频率为振荡频率的1/24。对外部输入信号的占空比并没有什么限制,但为了确保某一给定的电平在变化之前至少被采样一次,则这一电乎至少要保持一个机器周期。虽然本设计不采用机器周期的概念,但是这里仍将采用1/12倍时钟频率来对外部输入进行采样,以便能和原芯片功能兼容。表3.2列出了它的四种工作方式。通过定时/计数器单元的仿真,可以看出,设计准确无误.

表3.2 定时/计数器的工作方式

3.3.4串行通信单元

串行通信是CPU与外界进行信息交换的一种方式。MCS-51单片机内部有一个全双工串行接口。其内部有两个数据缓冲器(SBUF),一个用作发送,另一个用作接收。这两个数据缓冲器物理上各自独立,通用一个地址99H,发送缓冲器只写不读,接收缓冲器只读不写。其中接收缓冲器是双缓冲的,以避免在接收下一帧数据之前,CPU未能及时响应接收器中断,没有把上~帧数据读走而产生两帧数据重叠问题。串口的控制是通过控制寄存器SCON和PCON来实现的。

串行口有四种工作方式,方式0的波特率是固定的(为1/12倍的输

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术课程设计报告

课程设计任务书 设计题目1:数码管显示数字钟设计 学生姓名 课程名称EDA技术课程设计专业班级 地点起止时间2017年6月19日— 6月23日 设 计 内 容 硬件设计及样品制作 设计参数1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 设计进度1.2017年6月19日—20日查阅资料,确定设计方案 2.2017年6月21日—22日程序设计和硬件调试 3.2017年6月23日撰写课程设计报告,答辩 设计成果1. 设计说明书一份(不少于2000字); 2. 样品一套。 参考资料1.周润景等,基于Quartus II的FPGA/CPLD数字系统设计实例,电子工业 出版社,2010 2.夏宇闻,Verilog数字系统设计教程(第二版),北京航空航天大学出版 社,2014 说明1.本表应在每次实施前由指导教师填写一式2份,审批后所在系(部)和指导教师各留1份。2.多名学生共用一题的,在设计内容、参数、要求等方面应有所区别。3.若填写内容较多可另纸附后。 院(系)分管领导:教研室主任:指导教师: 2017年 6月 23日

EDA技术课程设计报告 目录 第1章引言 (1) 第2章电路原理 (2) 第3章程序设计 (3) 3.1 顶层模块设计 (3) 3.2 时钟分频模块设计 (4) 3.3 按键驱动模块设计 (4) 3.4 时钟计数模块设计 (4) 3.5 整点报时模块 (5) 3.6 LED灯花样显示模块 (7) 3.7 数码管显示模块设计 (7) 第4章调试、测试分析及结果 (10) 4.1 调试 (10) 4.2 测试分析 (10) 4.3 结果 (12) 第5章小结 (13) 参考文献 (14) 附录电路图及程序 (15)

EDA技术课程设计题目与任务

五课程设计题目与内容 1. 电子秒表设计 内容及要求: 完成具有多计数功能的秒表,并可将结果逐一显示在7 段数码管上,具体要求如下: (1)输入时钟10khz ,采用Altera EP1C6Q240C8 FPGA ; (2)异步、同步复位,计时精度1ms,最大计时240秒; (3)至少对 6 个目标计时,并可显示于7 段数码管,格式为xxx.yyy ,秒为单位; ( 4)计时值可逐一顺序回显; ( 5)按下一次终止键完成一个对象的计时,计时间隔小于最大计时值; ( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。 进度安排: 本设计持续10天,其中最后一天(依例周五)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现

2. aval on 总线从接口设计 内容及要求: 实现Altera 的NiosII CPU 外部总线接口电路,完成存储器的读写操作。 (1) CPU采用Altera Nios n; ( 2)接口电路采用同步操作,注意时钟的选择; ( 3)寻址空间0x8000~0x8ffff ,数据总线宽度8 比特; ( 4)存储器的种类为寄存器即可,具有读写功能; ( 5) avalon 总线接口为slave ; (6)下载验证时要和CPU一同实现相应的功能,软件进行读写操作。 进度安排: 本课程设计持续10 天,其中最后一天( (依例周5)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真; 第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限 2 人:共同进行电路和验证方案设计, 1 人逻辑电路设计与仿真,另1人系统集成及软件代码设计

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

EDA技术课程设计之六位频率计的设计

目录 一概述............................................................................................................................................. - 1 - (一)设计背景及意义 (1) (二)设计任务与要求 (1) 二六位频率计的工作原理............................................................................................................. - 1 -三六位频率计的设计与仿真......................................................................................................... - 2 - (一)六位十进制频率计的设计与仿真 (2) (二)六位十六进制频率计的设计与仿真 (5) 四调试过程、测试结果及分析 ..................................................................................................... - 8 - (一)六位十进制频率计的测试结果与分析 (8) (二)六位十进制频率计扩展功能的测试结果与分析 (9) (三)六位十六进制频率计的测试结果与分析 (10) 五课程设计体会........................................................................................................................... - 11 -六参考文献................................................................................................................................... - 11 -

EDA技术课程设计任务书2015

课程设计(论文)任务书 电气与电子工程学院电子信息工程专业2013- 2班 一、课程设计(论文)题目数字频率计 二、课程设计(论文)工作自 2015 年12 月28 日起至2016 年1 月 8 日止。 三、课程设计(论文) 地点: 电子测控实验室 四、课程设计(论文)内容要求: 1)课程设计任务: 1:设计一个能测量方波信号的频率的频率计。 2:测量的频率范围是0~999999 hz。 3: 测量结果用十进制数显示。 4: 量程自动切换,超限报警。 2)课程设计论文编写要求 (1)课程设计任务及要求 (2)总体电路设计 (3)模块设计---给出各个模块的详细设计思路 (4)管脚绑定---列表或截图给出管脚绑定情况 (5)仿真及FPGA开发板调试---给出运行仿真波形截图,分析运行结果。 (6)设计体会与小结---设计遇到的问题及解决办法,通过设计学到了哪些新知识,巩固了哪些知识,有哪些提高。 (7)参考文献(必须按标准格式列出,可参考教材后面的参考文献格式) (8)报告按规定排版打印,要求装订平整,否则要求返工; (9)课设报告的装订顺序如下:封面---任务书---中文摘要---目录----正文---附录(代码及相关图片) (10)严禁抄袭,如有发现,按不及格处理。 3)课程设计评分标准: (1)学习态度:10分;

(2)系统设计:20分; (3)代码调试:20分; (4)回答问题:20分; (5)论文撰写:30分。 4)课程设计进度安排 进度安排: 本设计持续10天,其中最后一天为答辩时间。 第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10天:验收合格后进行答辩。 学生签名: 2015 年12 月28 日 课程设计(论文)评审意见 (1)学习态度(10分):优()、良()、中()、一般()、差();(2)系统设计(20分):优()、良()、中()、一般()、差();(3)代码调试(20分):优()、良()、中()、一般()、差();(4)回答问题(20分):优()、良()、中()、一般()、差();(5)论文撰写(30分):优()、良()、中()、一般()、差(); 评阅人:职称: 2016 年 1 月10 日

EDA技术课程设计-脉冲按键电话显示器.

课程EDA技术课程设计 题目脉冲按键电话显示器 专业电子信息工程 主要内容、基本要求、主要参考资料等 主要内容: 设计一个准确地反映按键数字具有8位显示的电话按键显示器,该电话显示器要求具有重拨的功能,当按下重拨键时,能够显示最后一次输入的电话号码。 基本要求: 1、设计一个具有8位显示的电话按键显示器; 2、能准确地反映按键数字; 3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位; 4、设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码; 5、挂机2秒后或按熄灭按键,熄灭显示器显示。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 本次课程设计是用VHDL语言实现一个能准确地反映按键数字、具有8位显示的电话按键显示器。摘机时开始工作,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;设置一个“重拨”键,按下此键能显示最后一次输入的电话号码;挂机2秒后或按熄灭键,熄灭显示器显示。 本文设计的脉冲按键电话显示器由五个模块组成:按键电路、译码器、移位寄存、锁存器和数码管显示电路,其中移位寄存、锁存器和数码管译码显示电路为系统的主要组成部分。 (1)按键电路模块。提供“0”到“9”数字按键的输入,同时设置有拨号键,清除键,挂机键和重拨键。 (2)译码电路模块。译码器有两个功能。第一,把输入的一位键值转换成四位BCD码;第二,把4位二进制码译成相应的数码管输出显示码。 BCD码对应的七段数码管显示如下表: BCD码对应的数码管显示

EDA课程设计洗衣机控制器要点

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目洗衣机控制器 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。 2.设计框图 图1 设计框图 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、设计步骤和调试过程 1、模块设计和相应模块代码 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电

eda课程设计1203010

《EDA技术》 课程设计报告 题目: FPGA数字时钟设计 班级: 12电信本2 学号: 1203010211 姓名:高翔 同组人员:汤吉鑫王正提 指导教师:杨祖芳 2015年 5月1日

目录 1 设计任务 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2 总体设计框图 (1) 3 单元电路设计 (1) 3.1 秒计数器模块设计与实现 (1) 3.2 分计数器模块设计与实现 (3) 3.3 时计数器模块设计与实现 (4) 3.4 校准模块 (5) 3.5 BCD七段显示译码器 (5) 3.6 3-8线译码器模块设计与实现 (6) 3.7 分频器的设计与实现 (7) 3.8 去抖模块 (7) 3.9 动态扫描数码显示器 (8) 3.10 顶层原理设计图 (8) 4 硬件测试与结果分析 (9) 4.1 硬件测试 (9) 4.2 测试过程及结果分析 (9) 5 收获与体会 (10) 参考书目 (10) 附录 (11)

1 设计任务 设计并实现具有一定功能的数字钟。包括清零、置数、计数、报时等功能。 (1)具有时、分、秒计数显示功能,且以24小时循环计时。 (2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。 (3)具有整点报时功能。 2 总体设计方案 2.1 设计思路 本设计采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分 计数器、时计数器、bcd 七段显示译码器、3-8译码器、分频器、动态扫描数码显示器。顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。 2.2 总体设计框图 图2-2 设计框图 3 单元电路设计 3.1 秒计数器模块设计与实现 计时模块使用的时钟信号为1Hz 。秒计时模块为60进制计数器,也可以看为个位为10进制十位为6进制。当秒的个位显示到9时,下一秒向十位进1 并将个位重新归零并开始计数,当十位为5个位为9时,计时器下一秒向分计数器进1并同时将秒计时器个位、十位归零。也可以看成计时器从00开始计数到59,当秒显示为59时,下一秒将显示00并从新开始计数,同时向分位为进1。当秒计时模块中扫描到有按键按下时,直接向分计时器进1,但不影响秒计时器的正常计数(扫描按键是

EDA技术课程设计 矩形波

河北科技大学 课程设计报告 学生姓名(学号): 专业班级:电信班 课程名称:EDA技术课程设计 学年学期: 2 013 —2 014学年第2 学期指导教师:于国庆 2 0 14 年6 月 课程设计成绩评定表

学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 总成绩 专业班级电信班起止时间2014/6/13—2014/6/20 1Hz-10KHz任意频率矩形波发生器 设计题目 指 导 教 师 评 指导教师: 语 年月日 目录

一、设计要求 (3) 二、单元模块设 (4) 2.1分频电路 (4) 2.2占空比设计思路 (4) 2.3频率显示设计思路 (4) 三、系统设计 (5) 3.1 DDS基本结构 (5) 3.2 总体原理 (5) 四、仿真与调试 (5) 4.1主程序 (5) 4.2按键去抖程序 (13) 4.3仿真图 (15) 4.4引脚锁定 (16) 五、发现的问题和改进方法 (17) 六、协同与分工 (17) 七、心得体会(总结) (17) 八、参考文献 (18) 摘要

频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术。在通信、雷达、导航、电子侦察、干扰与抗干扰等众多领域都有应用。随着各种频合成器和频率合成方案的出现,频率合成技术得到了不断的发展。 1971年3月美国学者J.Tierncy,C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS__Direct Digital Synthesis)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS 技术具有极高的频率分辨率、极快的变频速度,变频相位连续、相位噪声低,易于功能扩展和全数字化便于集成,容易实现对输出信号的多种调制等优点,满足了现代电子系统的许多要求,因此得到了迅速的发展。 基于DDS的优点,本课设中采用此设计方法来完成课设任务。 一、设计要求 功能:采用直接数字频率合成(DDS)技术原理设计,由按键设置输出频率,数码管低5位显示输出频率值;按键设置输出信号占空比,数码管高两位显示输出信号占空比,占空比类型为固定为7种:8:1、4:1、2:1、1:1、1:2、1:4、1:8、,对应显示为:8.1、4.1、2.1、1.1、1.2、1.4、1.8。 要求:输出频率设定采用5个按键,分别对频率值的个位、十位、百位、千位及十千位设置,按键时对应位的数值+1,0~9循环,显示值即输出频率值。占空比设置用一个按键,占空比7中类型循环,信号同时输出给蜂鸣器。 发挥:多路信号输出,相位关系可设定;8个led显示相位累加器量值(单点示波器)。 二、单元模块设计思路 2.1 分频电路

EDA技术实践课程设计--24进制计数器

东北石油大学EDA技术实践课程设计 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

《EDA技术及应用》课程设计报告

郑州航空工业管理学院 《EDA技术及应用》课程设计报告 11 级电子信息工程专业 1113084 班级 题目: 三人智力抢答器 姓名: 学号: 同组同学: 指导教师: 王春彦程铮张臻刘兆瑜 二零一三年七月五日

三人智力抢答器课程设计报告 随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。为了克服上述困难,加拿大Interactive Image Technologies公司推出的基于Windows 95/98/NT操作系统的EDA软件(Electronics Workbench“电子工作台”,EWB)。他可以将不同类型的电路组合成混合电路进行仿真。EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。目前已在电子工程设计等领域得到了广泛地应用。与目前流行的电路仿真软件相比较,EWB具有界面直观、操作方便等优点。他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。

EDA技术0934091 课程设计任务书20120613(1)

郑重声明 1,选题截止时间为今日19:00,未选题者后果自负。 2,每人务必亲自到EDA实验室签字,代签或不签无效。 3,每题限选三人,如有选满的题本人会在第一时间在QQ群中公布,其余要求以此任务书为准,请仔细阅读。 4,本人所了解到的所有信息和任务书中完全一致,一切问题概不解释。本人拒接一切电话,短信与QQ信息不回。 请谅解 乔治

河南城建学院 《EDA技术》课程设计任务书 班级0934091 专业电子信息工程 课程名称 EDA技术 指导教师:赵红梅、陈英、梁成武 电气与电子工程系 2011年6月

《EDA技术》课程设计任务书 1、第六学期期末的一周 2、电气与电子工程系系馆楼 二、设计目的和要求 1、设计目的 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求 (1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2).熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 三、设计题目和内容 题目1: 8位加法器的设计 用EDA技术试设计一个8位二进制并行加法器,它由两个4位二进制并行加法器级联而成。要求加数、被加数、和都在数码管上以十进制数显示出来。系统提供50HZ频率的时钟源(要求根据试验箱可以用不同的时钟频率)。完成该系统的硬件和软件的设计,并使用试验箱调试制作出实物,调试好后并能实际运用(需要经指导教师现场演示验证),最后就课程设计本身提交一篇课程设计报告。 题目2:正负脉宽数控调制信号发生器的设计 用EDA技术试设计一个正负脉宽数控信号发生器,它的输出信号的高低电平脉宽可分别由两组8位二进制预置数进行控制。正负脉宽的输出由LED显示,

EDA课程设计报告(DOC)

EDA课程设计报告 —VGA显示器彩色信号发生器电路 班级:11电子班 姓名: 学号: 1115102015 指导老师:凌朝东

摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速。本设计采用Altera公司的EDA软件0uartus II,并以Cylone 系列FPGA器件为硬件平台进行系统设计。

目录 1、系统设计 (4) 2、单元电路设计 (6) 3、软件设计 (8) 4、系统测试 (12) 5、结论 (14) 6、参考文献 (14) 7、附录 (15) 8、设计说明书 (16)

一、系统设计 1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。 2、系统设计方案: 方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。 方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA 的控制。 方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。 方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。 综合上诉分析本设计中采用方案二。 3、系统的工作原理:计算机显示器的显示有许多接口标准,常见的 有VGA、SVGA等。VGA接口,也叫D-Sub接口, 是15针的梯形插头,分成3排,每排5个, 传输模拟信号。VGA接口采用非对称分布的 15针连接方式,其工作原理是:将显存内 以数字格式存储的图像(帧)信号在RAMDAC里经过模拟调制成模拟高

EDA技术 课程设计任务书

河南城建学院 《EDA技术》课程设计任务书 班级0934091 专业电子信息工程 课程名称 EDA技术 指导教师:赵红梅、陈英、梁成武 电气与电子工程系 2011年6月 《EDA技术》课程设计任务书

1、第六学期期末的一周 2、电气与电子工程系系馆楼 二、设计目的和要求 1、设计目的 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求 (1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2).熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 三、设计题目和内容 题目1: 8位加法器的设计 用EDA技术试设计一个8位二进制并行加法器,它由两个4位二进制并行加法器级联而成。要求加数、被加数、和都在数码管上以十进制数显示出来。系统提供50HZ频率的时钟源(要求根据试验箱可以用不同的时钟频率)。完成该系统的硬件和软件的设计,并使用试验箱调试制作出实物,调试好后并能实际运用(需要经指导教师现场演示验证),最后就课程设计本身提交一篇课程设计报告。 题目2:正负脉宽数控调制信号发生器的设计 用EDA技术试设计一个正负脉宽数控信号发生器,它的输出信号的高低电平脉宽可分别由两组8位二进制预置数进行控制。正负脉宽的输出由LED显示,并要求两组8位二进制预置数在数码管上以十进制数显示出来。系统提供50MHZ 频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后

eda技术课程设计

兰州交通大学EDA技术课程设计 学院: 专业: 班级: 学生姓名: 学号: 指导教师:

LED点阵显示控制器 16×16点阵汉字显示设计 绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏慕。当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等,现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用; 随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设各的工作状态和生产过程状态的显示与监控起到了非常重耍的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。 1 EDA、VHDL简介 1.1 EDA技术 EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。没有EDA 技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生

相关主题
文本预览
相关文档 最新文档