当前位置:文档之家› 设计一个血型配对指示器

设计一个血型配对指示器

设计一个血型配对指示器
设计一个血型配对指示器

设计一个血型配对指示器。输血时供血者和受血者的血型配对情况如图所示,即(1)同一血型之间可以相互输血;(2)AB 型受血者可以接受任何血型的输出;(3)O 型输血者可以给任何血型的受血者输血。要求当受血者血型与供血者血型符合要求时绿指示灯亮,否则红指示灯亮。

根据逻辑要求设定输入、输出变量。

用变量XY 表示供血者代码。MN 表示被输血者代码。代码设定如下

XY =00 A 型 MN =00 A 型

01 B 型 01 B 型

10 AB 型 10 AB 型

11 O 型 11 O 型

设F1表示绿灯,F2表示红灯,依题意,可列出逻辑真值表。

2)列出真值表

(3)写出逻辑函数表达式

F1=∑m (0,2,5,6,10,12,13,14,15)

(4)化简逻辑函数表达式

又 F2=∑m (1,3,4,7,8,9,11)

设输入既有原变量又有反变量

N

M Y N Y X N M XY F +++=

1N M Y X M Y X MN X N Y F N

M Y N Y X N M XY F +++=+++=21N Y X N M Y N M XY N Y X N M Y N M XY F ???=+++=1N M Y X M Y X MN X N Y F ???=2

数字电路 血型匹配

组合逻辑电路大作业报告 学院:自动化学院 班级:自动化1702 班 姓名:马子茹 173407020203

一、题目要求 试用与非门或74LS151分别设计一个血型配对指示器,输血时供血者和受血者的血型配对情况为:(1)同一血型之间可以相互输血;(2)AB型受血者可以接受任何血型的输血;(3)O型输血者可以给任何血型的受血者输血。当受血者的血型和供血者的血型符合要求时绿灯亮,否则红灯亮。 二、逻辑分析 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。 假设MN代表输血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血),PQ代表受血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血)。假设Y为输出,Y=1时表示血型匹配绿灯亮,Y=0时表示血型不匹配红灯亮。由此可以列出输血、受血血型是否匹配的真值表如下。

根据真值表可以得到逻辑函数表达式为: Y=M'N'P'Q'+M'N'PQ'+ M'NP'Q + M'NPQ'+ MN'PQ'+ MNP'Q'+MNPQ'+MNP'Q+ MNPQ 三、用与非门设计血型配对指示器 1、设计过程 利用卡诺图将逻辑函数表达式进行化简: 化简结果为:Y=M'N'Q'+NP'Q+PQ'+MN跟据化简结果进行与非门逻辑电路设计

2、仿真过程 因为实验情况较多,我们选择了随机抽取的的四种仿真效果作为参考:两种可以输血(亮绿灯),两种不可输血(亮红灯)的情况。 (1)Y=1,亮绿灯,可以输血。 ①当输入M=N=P=Q=0时,输出Y=1。既A血型可以给A血型输血,亮绿灯,演示结果如图: ②当输入M=0,N=1,P=1,Q=0时,输出Y=1。既B血型可以给AB血型输血,亮绿灯,演示结果如图:

组合逻辑电路——血型匹配电路

. . . 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD的卡诺图如下图所示:

DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 0 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

血型配对表

血型配对表 血型配对 由于人类红细胞所含凝集原不同,而将血液分成若干型,故称血型,以“ABO血型”和“Rh 血型”最为常见。血型配对,是指夫妻结合后根据双方血型能推算出后代血型的一种规律。 狭义地讲,血型专指红细胞抗原在个体间的差异;但现已知道除红细胞外,在白细胞、血小板乃至某些血浆蛋白,个体之间也存在着抗原差异。因此,广义的血型应包括血液各成分的抗原在个体间出现的差异。通常人们对血型的了解往往仅局限于ABO血型以及输血问题等方面,实际上,血型在人类学、遗传学、法医学、临床医学等学科都有广泛的实用价值,因此具有着重要的理论和实践意义。 输血血型配对 血型配对除了可以推测后代血型外,在临床上输血时也起着重要意义。由于不同血型的人具有不同抗原,若输血时接受了不适合自己血型的血液,则会起到严重的抗凝反应,导致生命危险。 血型主要是根据人体血液中含有的血型抗原来分类的,而每个人的血型又是从父母亲那里有规律地遗传得来的。以最普遍的ABO血型系统来说,如果一个人的血液里有A抗原,就是A型血;有B抗原的是B型血;同时含有A和B抗原的是AB型血;既不含A也不含B抗原的则是O型血。 血液中除含有上面提到的血型抗原,还有一种相对应的物质——血型抗体。A型血有抗B抗体,B型血有抗A抗体,当这种相互对抗的抗原抗体相遇时就会发生生物学所指的凝集反应,表现在临床上就是使我们的血液大量地溶解和破坏。 ABO系统中血液的抗原抗体: A :A抗原抗B抗体 B:B抗原抗A抗体 AB:A、B抗原无 O:无抗A、B抗体 输血的凝集反应是一种致命的症状,可以严重危及人的生命。所以应避免将含有对受血者血型抗原对抗抗体的血液输入给受血者。所以说,B型血不能输给A型血,A型不能输给B型。 AB型血因不含抗A和抗B抗体,理论上可以接受异型血输入;O型血与AB正相反,即含抗A又含抗B抗体,因此不能接受异型输血,但它不含A和B抗原,当需要的时候可以输给A、B或AB型血的人。这就是通常把O型血称作“万能输血者”的原因。

数字电路hc血型匹配检测器

福建农林大学金山学院 课程设计报告 课程名称:数字电子技术课程设计 课程设计题目:血型匹配检测器的设计 姓名: 专业:电气工程及其自动化 年级:2013级 学号: 指导教师:张振敏 职称:讲师 2015年7月3日 一、实验目的 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合一定的授受关系。设计这个逻辑电路,判断输血者与受血者的血型是否符合上述规定。 二、软件介绍 仿真软件Multisim是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows下运行的个人桌面电子设计工具, Multisim是一个完整的集成化设计环境。Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。Multisim软件绝对是电子学教学的首选软件工具。 特点:直观的图形界面;丰富的元器件;强大的仿真能力;丰富的测试仪器;完备的分析手段;独特的射频(RF)模块;强大的MCU模块;完善的后处理;详细的报告;兼容性好的信息转换。三、设计过程 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。 先用AB代表输血者的血型(00为A型血、01为B型血、10为AB型血、II为0型血),CD代

表受血者的血型(00为A 型血、01为B 型血、10为AB 型血、II 为0型血),Y 为输出(0为不匹配、1为匹配),那么可以列出输血、受血血型是否匹配。 血型匹配真值表: 根 据 真 值 表 可 以得 到 逻 辑 函 数 表 达 式 为 : Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD 化简,得:Y=B'C'D'+A'BD+AD'+BC 数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。八选一数据选择器74HC151是集成的有三个地址输入端A2、A1、A0,八个数据输入端D0~D7的中规模组合逻辑电路。 74HC151数据选择器的功能可以用逻辑函数表示为:∑==7 0i i i 012m ,,(D A A A Y ) 根据公式: Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD 将A →A 2、B →A 1、C →A 0,因此逻辑式可以表示为: Y=m 0D' + m 1D' + m 2D + m 3D' + m 5D ’十m 6+m 7 故: D 0=D 1= D 3=D 5=D', D 2 = D, D 4=0,D 6=D 7=1

组合逻辑电路——血型匹配电路

组合逻辑电路 ——血型匹配电路 一、 题目: 人的血型由A 、B 、AB 、O 四种。输血时输血者的血型和受血者血型必须符合图1中用箭头指示的授受关系。判断输血者和受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及和非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A 、01代表B 、10代表AB 、11代表O 。) A B AB O A B AB O 图1 二、 分析: 人的血型由A 、B 、AB 、O 四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A 、01代表血型B 、10代表血型AB 、11代表血型O 。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及和非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA 表示,受血者血型用逻辑变量DC 表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到 数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 1 0 0 1 0 1 0 1 1 1 1 1 0 0 0 1

m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

血型配对表

血型配对表 2009年04月21日星期二 13:30 父母血型子女可能子女不可能* A及A A,O B,AB A及B A,B, AB,O A及AB A,B, AB O A及O A,O B,AB B及B B,O A,AB B及AB A,B, AB O B及O B,O A,AB AB及AB A,B, AB O AB及O A,B AB,O O及O O A,B,AB 男女血型爱情配对表 血型会造就情人,不同的血型搭配,往往奇妙激荡同一电波;频道相同,当然就极易迸裂爱的火花了。 根据新郎新娘有关血型的实际调查发现,B型男孩看O型女孩最对眼,A型男孩最容易对B型女孩萌生爱意,而同是O型者,较难产生爱的火焰。 血型爱情配对表,根据血型测试你和恋人的缘分和婚姻,仅供参考! ?AB型和 AB型:经不起外部攻击。 这是一组比“B—B”更单一化的关系,在他们之间似乎只有知识交流的关系。除外表容貌、社会能力、技术等因素外,在待人方式、性格及个性等方面,双方都抱有不屑一顾的态度。特别在男女关系,不少AB型男女明确表示不愿与AB型人交往。日常生活方面的相互配合也很难说是好的。笔者偶尔也拜访清一色的AB 型家庭,那种一家子各行其是的景象是令人惊讶的,甚至觉得他们相互间都是漠不关心的。可是,漠不关心的AB型人对兴趣爱好、工作等知识性问题却有共同的语言,相互很谈得拢,而且还常常相互忠告。相同血型的人,最好能把气质以外的因素拉开差距,如出身,职业、负责的业务、地位及年龄差别等。AB型同伴在工作上能建立起极好的上下级关系。他们之间信息畅通、配合默契。甚至在长时间不对话的情况下,也能确信对方在考虑同一个问题。堪称富有理智和信任的关系。在企业或其它一些部门里常可以看到这样的上下级关系。不管怎么说,由于AB型人之间缺乏气质上的和人类间应有的吸引因素,所以虽然有的机构里AB型人较多,但能自然地长久相处的AB型组合还是不多见的。他们似有脆弱性,受到外部压力和冲击时较易散伙。 ?AB型和 A型:由相爱、尊敬、定局 这一组合常见于相亲相爱的夫妇和情侣;其次是朋友以及相处得好的同伴关系。这组关系总的感觉是,他们似乎较难形成配合默契的工作关系。简明地说,这是

第二章练习题

1 第2章 组合逻辑电路分析与设计 2-1 图2-5是两个CMOS 逻辑门的内部结构图,试说出逻辑门的名称,并写出输出函数表达式,画出其逻辑符号。 2-2 已知74S00是2输入四与非门,I OL =20mA ,I OH =1mA ,I IL =2mA ,I IH =50μA ;7410是3输入三与非门,I OL =16mA ,I OH =0.4mA ,I IL =1.6mA ,I IH =40μA 。试分别计算74S00和7410的扇出系数。理论上,一个74S00逻辑门的输出端最多可以驱动几个7410逻辑门,一个7410逻辑门的输出端最多可以驱动几个74S00逻辑门? 2-3 图2-7中的逻辑门均为TTL 门。试问图中电路能否实现1F AB =,2F AB =, 3F AB BC =?的功能?要求说明理由。 2-4 试用OC 与非门实现逻辑函数F AC ABC ACD =++,假定不允许反变量输入。 2-5 某组合逻辑电路如图2-9(a )所示 (1) 写出输出函数F 的表达式; (2) 列出真值表; (3) 对应图2-9(b )所示输入波形,画出输出信号F 的波形; Q Q 3 6 A B +V Q Q Q Q F 1 24 5 DD Q Q 1 2 F A B Q Q Q Q +V 34 5 6 DD (a ) (b ) 图2-5 CMOS 逻辑门内部结构图 & A 1 B 10K F β =50 +5V 1K & A 2 B 10K F β =50 +5V 1K & & A B C 3 F (a ) (b ) (c ) 图2-7

2 (4) 用图2-9(c )所示与或非门实现函数F (允许反变量输入)。 2-6 写出图2-11所示电路的输出函数表达式,说明该电路的逻辑功能和每个输入变量和输出变量的含义。 2-7 列表说明图2-12所示电路中,当S 3S 2S 1S 0作为控制信号时,F 与A 、B 的逻辑关系。 A EN EN B E ≥1 & 1F 1A B E F & ≥1 (a ) (b ) (c ) 图2-9 & ≥1 1 =1 & ≥1 A B F S S S 123 S 0 图2-12 图2-11 & ≥1 A 1 A 01 11 X 1X 0X 3X 2EN Y

血型匹配指示器

学号41 分数 血型匹配指示器 姓名:朱丽丝 班级:09电信 指导教师:赵欣 湖北轻工职业技术学院 2011年03月27日

目录 第一章概述 (2) 第二章血型匹配指示器的电路原理 (3) 第三章电路的调试与制作 (5) 第四章总结与体会 (8) 第五章附录 (9)

第一章概述 每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。例如:如果B型血输给O型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。 血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血能为哪些血型的人群服务;同时,操作人也能够知道按下某个按钮时,若自己血型对应的指示灯亮了,意味着自己可以接受这个血型的人群的献血。有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。 我们这次制作的血型匹配指示器,每一个开关都代表一个受血者或献血者的血型,按下相应的开关后,亮绿灯代表是可以的,亮红灯代表不可以。 下面就是我们这次制作的详细过程。

第二章血型匹配指示器的电路原理一、原理图:

二、原理介绍: 血型匹配的原则是: (1).O型血可以输给A、B、O型血,但O型血只能接收O型血; (2).AB型血的人只能输给AB型血的人,但AB型可以接受A、B、O型血; (3).A型血能输给A型血或AB型血,可以接受A型血或O型血; (4).B型血能输给B型血或AB型血,可以接受B型血或O型血。 整个电路最主要的是采用了一个8选1的数据选择器来实现电路的逻辑功能。其次是用了2组开关来表示供血者和受血者的血型,其中用00来表示A型血,用01来表示B型血,用10来表示AB型血,用11来表示O型血(输血者和受血者均用开关如此来表示)。如果输血者与受血者的血型符合规定则绿灯亮,表示可以输血,否则就是红灯亮,表示不能输血。

组合逻辑电路——血型匹配电路

. 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,

不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10

由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 1 0 1 0 0 1 1 0 1 1 1 1 1

组合逻辑电路——血型匹配电路教学提纲

组合逻辑电路——血型匹配电路

组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。 74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。

我们不妨把输血者血型用逻辑变量BA 表示,受血者血型用逻辑变量DC 表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: 1 0 0 1 0 1 0 1 1 1 1 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

数字逻辑-血型配对-三裁判

《数字逻辑》实验报告 第一次实验:组合逻辑电路设计 实验报告 实验目的:掌握查阅数字集成电路资料的方法;掌握一般组合逻辑集成电路的使用 实验任务: A血型配对 B.三裁判(含主裁判)表决器 实验设备、器件及功能说明: ?四2输入与非门:74LS00

?8-1数据选择器:74LS151 74LS00 2 输入端四与非门 74LS151 8选1数据选择器 实验内容: (1)A血型配对 题目要求:人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(AB表示输送血型,CD表示接受血型。输出变量F,F取值为1表示可以输送。 假设血型编码为 AB取值为00表示O型、01表示A型、10表示B型、11表示AB型; CD取值为00表示AB型、01表示B型、10表示A型、11表示O型)

A B AB O A B AB O 图1 二、设计思路 由逻辑关系列出真值表 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 F 1 1 1 1 1 0 1 0 1 1 0 0 1 0 0 0 卡诺图为: 由卡诺图可得:F=BD+AC = BD * AC 电路如图: 电源输出F

A C B D 接地 3接13, 6接12 11接10 11接9 8为输出F 实验结果: A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 F 1 1 1 1 1 0 1 0 1 1 0 0 1 0 0 0 表示的结果为: O型适用于所有血型;A适用于AB型和A型,不适用于O型;

组合逻辑电路-血型匹配电路

电子课程设计 血型匹配电路 学院: 班级: 姓名: 学号: 指导教师:

每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。例如:如果B型血输给O型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。 血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血能为哪些血型的人群服务;同时,操作人也能够知道按下某个按钮时,若自己血型对应的指示灯亮了,意味着自己可以接受这个血型的人群的献血。有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。 我们这次制作的血型匹配指示器,每一个开关都代表一个受血者或献血者的血型,按下相应的开关后,亮绿灯代表是可以的,亮红灯代表不可以。 下面就是我这次制作的详细过程。

组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。)如图1-1. 图1-1 血型匹配图 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。

血型匹配

H a r b i n I n s t i t u t e o f T e c h n o l o g y 设计说明书(论文) 课程名称:数字电子技术 设计题目:血型匹配组合逻辑电路 院系:自动化测试与控制系 班级: 设计者: 学号: 设计时间:2010年12月23日

1、实验题目 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) A B AB O A B AB O 图1 2、设计思路 由逻辑关系列出真值表 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 1 根据真值表,列出逻辑表达式: Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD

按照逻辑表达式,设计逻辑电路图: 74LS151有三个输入端口,在逻辑函数的四个输入变量中,任意确定三个变量作为74LS151输入量,因此选择方案有多种,例如确定ABC、BCD、ABD、ACD等为74LS151输入量,不同的方案得到的标准与或式的形式不同,因此电路结构也不同。 方案一:如果ABC为选择变量,且设A为高位,则逻辑函数Y的与或标准型表达式为 Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD =m0D + m1D + m2 D + m3D + m5D + m6 + m7 可以推出:D4=0 D6=D7=1 D2=D D0=D1=D3=D5=D 方案二:如果BCD为选择变量,且设B为高位,则逻辑函数Y的与或标准型表达式为 Y=A B C D+A B C D+A B C D+A BC D+A B C D+AB C D+ AB C D+ABC D+ABCD =A m0+ m2 + A m4 + m5+ m6+A m7 可以推出:D0=A D4=D7=A D2=D5=D6=1 D1=D3=0 在此仅列ABC、BCD为选择变量的情形,ABD、ACD为变量的情形与方案一、方案二类似。对比方案一、二,都必须用到一片74LS151与一片74LS00,两方案的复杂程度类似。因此,选择任一方案皆可。本实验选择第二方案,及以BCD为选择变量。

血型配对表

血型配对表 2009年04月21日星期二父母血型子女可 能 子女不可能 A 及A A ,0 B ,AB A 及 B A , B,AB ,0 A 及A B A ,B,AB 0 A 及0 A ,0 B ,AB B 及B B ,0 A ,AB B 及AB A , B,AB 0 B 及0 B ,0 A ,AB AB 及AB A , B,AB 0 AB 及0 A ,B AB ,0 0 及0 0 A ,B, AB 男女血型爱情配对表 血型会造就情人,不同的血型搭配,往往奇妙激荡同一电波;频道相同,当然就极易迸 裂爱的火花了。根据新郎新娘有关血型的实际调查发现,B型男孩看0型女孩最对眼,A型 男孩最容易对B型女孩萌生爱意,而同是0型者,较难产生爱的火焰。 血型爱情配对表,根据血型测试你和恋人的缘分和婚姻,仅供参考! ? AB型和AB型:经不起外部攻击。 这是一组比“ B—B”更单一化的关系,在他们之间似乎只有知识交流的关系。 容貌、社会能力、技术等因素外,在待人方式、性格及个性等方面,双方都抱有不屑一顾的 态度。特别在男女关系,不少AB型男女明确表示不愿与AB型人交往。日常生活方面的相 互配合也很难说是好的。笔者偶尔也拜访清一色的AB型家庭,那种一家子各行其是的景象 是令人惊讶的,甚至觉得他们相互间都是漠不关心的。可是,漠不关心的AB型人对兴趣爱 好、工作等知识性问题却有共同的语言,相互很谈得拢,而且还常常相互忠告。相同血型 的人,最好能把气质以外的因素拉开差距,如出身,职业、负责的业务、地位及年龄差别等。 AB型同伴在工作上能建立起极好的上下级关系。他们之间信息畅通、配合默契。甚至在长时间不对话的情况下,也能确信对方在考虑同一个问题。堪称富有理智和信任的关系。在企 业或其它一些部门里常可以看到这样的上下级关系。不管怎么说,由于AB型人之间缺乏气 质上的和人类间应有的吸引因素,所以虽然有的机构里AB型人较多,但能自然地长久相处 的AB型组合还是不多见的。他们似有脆弱性,受到外部压力和冲击时较易散伙。 * AB型和A型:由相爱、尊敬、定局 这一组合常见于相亲相爱的夫妇和情侣;其次是朋友以及相处得好的同伴关系。这组关系总的感觉是,他们似乎较难形成配合默契的工作关系。简明地说,这是一组AB型的取决 于情感的性格关系。A型人给人的印象虽有刚柔之异,但其总的形象是:持重而讲信用。 这种内在气质形成文雅端庄的仪态,对AB型人颇有吸引力。另外,A型人敢于承担责任, 除外表

从血型看婚姻配对

从血型看婚姻配对 你和伴侣的血型互动关系是什么?来看看夫妻血型配对的一句话概括吧,其中准确率将达到75%哦,因为环境中改变因素是15% 父母遗传基因改变因素是10%! 老公A+老婆A 最般配的夫妻 看上去关系平淡,仅两人时十分亲密 老公A +老婆O 死板的夫妻 墨守陈规,拘泥于习惯 老公A+ 老婆B 地位颠倒的夫妻 丈夫被妻子的行动所控制 老公A+ 老婆AB 做游戏似的夫妻 妻子完全依赖于丈夫 老公O+ 老婆O 互相竞争的夫妻 往往彼此制约 老公O +老婆A 男人做主的夫妻 丈夫专心于工作,妻子操持家务 老公O+老婆 B 扰人的夫妻 争吵是和睦的证明 老公O+ 老婆AB 绝情的夫妻 钱尽情绝 老公B +老婆B

我行我素的夫妻 不为将来忧虑 老公B+ 老婆O 女人做主的夫妻 妻子以实力压制丈夫 老公B+ 老婆A 饶舌的夫妻 以口角为消遣 老公B+ 老婆AB 能干的夫妻 在各自道路上求得发展 老公AB +老婆AB 难以理解的夫妻 过于接近而觉得气闷 老公AB+ 老婆A 依赖妻子的夫妻 丈夫把妻子当作母亲的替身 老公AB +老婆O 迥异的夫妻 彼此间需要保持一段距离 老公AB+ 老婆B 爱之奴式夫妻 妻子离不开丈夫 补充: 相同血型的夫妻在每一对中有不同的内容,但平均说来,这类夫妇比较稳定,不易破裂。 从男女感情来说,相同血型虽不会象辅助关系那样产生强烈的吸引,但很容易出现心心相印的感情。

这种感情表现为男女双方在共同工作或谈论相同话题时,经常产生相同的想法和一致的见解,随之而产生一种志同道合的感情。 一般来说,这种家庭缺少甜蜜的气氛,两人关系比较淡薄,缺乏刺激,相互不怎么有劲。尽管如此,这样的夫妻在长期生活中,却非常稳定,很少破裂。 这几类夫妻关系,感情上虽较淡薄,但在共同谈论话题和思想方面容易达成一致。与其说他们是一对情投意合的夫妻,不如说是一对并肩前进的夫妇。

实验二 组合逻辑电路

实验二组合逻辑电路 一、实验目的 1.掌握数据选择器的功能和应用方法; 2.掌握显示译码器的功能和使用方法; 3.掌握组合数字电路的设计和实现方法。 二、预习要求 1.复习译码器和数据选择器的工作原理; 2.复习有关组合电路设计方法的知识; 3.阅读74LS138和74LS151的引脚排列图及功能表; 4. 设计实验内容所要求的数据记录表格。 三、理论准备 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,由给定的功能要求,设计出相应的逻辑电路。

设计的一般步骤如图3-1所示: 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 需要注意的是,在使用中规模集成的组合逻辑电路设计时,需要把函数式变换成适当的形式(而不一定是最简式)。每一种中规模集成器件都有其确定的逻辑功能,并可以写成逻辑函数式的形式。如果要用这些器件构成所需的逻辑电路,必须要把产生的逻辑函数变换成与所用器件的逻辑函数类似的形式。 四、实验内容及要求 1.电机安全运行逻辑电路的设计(验证型) 要求:设有甲、乙、丙三台电机,它们运转时必须满足这样的条件,即任何时间必须所有电机同时运行,如不满足该条件,就输出故障报警信号,以提醒维护人员前去修理。试分别用以下两种方法完成该电路的设计并在逻辑实验箱上安装测试之。 (1)用与非门实现该逻辑电路。 (2)用译码器及必要的门电路设计此逻辑电路。 2.血型配对指示器逻辑电路的设计(验证型) 要求:人类由四种基本血型— A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血的人只能接受O 型血;AB 型血只能输给AB 型血的人,但AB 血型的人能够接受所有血型的血;A 型血能给A 型与AB 型血的人;而A 型血的人能够接受A 型与O 型血;B 型血能给B 型与AB 型血的人,而B 型血的人能够接受B 型与O 型血。试设计一个检验供血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对供血与受血的血型对)。其关系示意如图3-2所示。 试用以下两种方法设计一个血型检验电路,当供血者和受血者血型符合规定时, 电路输 图 3-2 图3-1 组合逻辑电路设计流程图

组合逻辑电路——血型匹配电路

组合逻辑电路 --- 血型匹配电路 一、 题目: 人的血型由A 、B 、AB O 四种。输血时输血者的血型与受血者血型必须符 合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规 定,要求用八选一数据选择器(74LS151及与非门(74LSO0实现。(提示:用 两个逻辑变量的4种取值表示输血者的血型,例如 OO 代表A 、01代表B 10代 表AB 11代表0。) 二、分析: 人的血型由A 、B 、AB 、O 四种刚好可以用两个逻辑变量表示,在这里我们 不妨设00代表血型A 、01代表血型B 、10代表血型AB 、11代表血型0。由于 我们是要来判断两个血型是否匹配, 则我们需要用四个逻辑变量,通过对四个逻 辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151及与非门(74LS00实现。74LS151 只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组 合。这是必须有一个逻辑变量接到 74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量 BA 表示,受血者血型用逻辑变量DC 表示, 则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能 用0表示。 做出逻辑变量ABCD 勺卡诺图如下图所示:

00 -1 - 5V J1 4 ------------------------ 1 r ] X1 Key = SPaCe J2 Key = SPaCe VCC U 1 11 109 5V U2A _ & 74S00D O 7 XG U M G 74LS151N 5 k 6_ 由于用74LS151需要把一个变量放到数据输入端,这里我们不妨把 输入端我们得到卡诺图2 m o m 1 m 3 m 2 m 6 m 7 m 5 D .ABC 000 001 011 010 110 111 101 0 1 由此我们可以的做出仿真电路: 01 11 10 DC BA 00 01 11 10 1 0 0 1 0 1 0 1 1 1 1 1 0 1 D 放到数据 1 0 1 0 0 1 1 0 1 1 1 1 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 4=0 m 4 100 VCC J3 ITI Key = SPaCe 3 2 01234567 DDDDDDDD J4 Key = SPaCe

血型配对检测电路的设计实验报告

实验四血型配对检测电路的设计 一、实验目的 1、掌握数据选择器的逻辑功能、扩展方法与使用方法; 2、掌握降维卡诺图方法、使用数据选择器与门电路一起实现组合逻辑电路 设计的方法; 3、掌握使用编码表示多种状态事物的方法。 二、实验任务 利用一个4选1数据选择器和最少数量的与非门,设计一个符合输血受血规则的4输入1输出电路。检测所设计电路的逻辑功能。 人类有四种基本血型——A、B、AB和O型。输血者与受血者的血型必须符合下述规则: O型血可以输给任意血型的人,但O血型的人只能接受O型血;AB型血只能输给AB血型的人,但AB血型的人能接受所有血型的血;A型的人,而A血型的人能接受A型血和O型血;B型血型血和O型血(其示意图见图4-1)。 图4-1 输血者血型与受血者血型关系示意图 三、实验原理 针对给中规模集成电路(MSI)是一种具有专门功能的集成功能件。常用的MSI组合功能件有译码器、编码器、数据选择器、数据比较器和全加器等。借助于器件手册提供的功能表,弄清器件各引出端(特别是各控制输入端)的功能与作用,就能正确地使用这些器件。在此基础上应该尽可能地开发这些器件的功能,扩大其应用范围。对于一个逻辑设计者来说,关键在于合理选用器件,灵活地使用器件的控制输入端,运用各种设计技巧,实现任务要求的电路功能。 在使用MSI组合功能件时,器件的各控制输入端必须按逻辑要求接入电路,不允许悬空。 1、数据选择器 74LS153是一个双4选1数据选择器,其逻辑符号如图4-2所示,功能表见表4-1。其中D0、D1、D2、D3为4个数据输入端;Y为输出端;S是使能端,在为高电平时使能,A1、A0是器件中两个选择器公用的地址输入端。

血型匹配课程设计4

计算机科学与技术学部《模电与数电》课程设计论文 血型匹配指示器 指导老师:黄胜

目录 第1章绪论 (1) 1.1课题简介 (1) 1.2设计目的 (2) 1.3设计内容 (3) 第2章血型匹配设计 (4) 2.1逻辑真值表的推理工作原理 (4) 2.2 根据真值表画出卡诺图 (6) 2.3公式的推导 (6) 2.4电子实物图 (7) 2.5电路的实践与调试 (8) 第3章总结 (9) 参考文献 (10)

第1章绪论 1.1课题简介 组合逻辑电路由门电路简单组合而成,在结构上没有正反馈回路,在功能上不具有记忆功能。组合逻辑电路设计,也叫做组合逻辑电路综合,就是根据实际逻辑问题,求出实现所需逻辑功能的最简逻辑图。这里用组合逻辑电路的设计方法来设计一个简单的4-16线的译码器电路。用于实现血型匹配的功能。 随着科技的发达,越来越多的科技产品也纷纷产生,其中就有血型配对器。血型配对器在医疗方面起着广泛的作用。总所周知人类有四种基本血型:A、B、AB、O型。输血者与受血者的血型必须符合下述规则:O型血可以输给任何人,但O型血的人只能接受O型血;AB型血的人只能给AB型血的人输血,但他能接受所有血型的血;A型血能输给A型或AB型血,可以接收A型或O型血;B型血能输给B型或AB型血,可以接收B型或O型血。所以如果有这样一种装置,而且这个装置需要:1、先测两次血型;2、然后把两个血型输入电脑芯片;3、可以输血则绿灯亮否则亮红灯。如果输血者与受血者血型匹配则绿灯亮,表示可以输血,否则红灯亮。这个设想挺好的,不过也有几个问题:1、增加了治疗成本,高科技的使用肯定要转移到患者的身上;2、降低了安全性,电脑芯片是否会百分百没问题,现实的医疗上操作是至少两次的血型比较,基本上不会出现血型配对的错误;3、即使设计出来这种产品临床上也不会大量的使用,难以形成批量生产;4、应该还有其他的问题,我暂时还没有想起来。这种发现问题解决问题的想法很好地,但是需要考虑实用性,希望有机会多交流得以改善本着理论用于实践的宗旨,通过自主动手,去更好的学习组合逻辑电路的(MSI)的功能测试,下面通过对译码器的应用,叙述其实现过程。

相关主题
文本预览
相关文档 最新文档