当前位置:文档之家› 辽宁工业大学数电篮球比赛电子记分牌

辽宁工业大学数电篮球比赛电子记分牌

辽宁工业大学数电篮球比赛电子记分牌
辽宁工业大学数电篮球比赛电子记分牌

数字电子技术基础课程设计(论文)

篮球比赛电子记分牌

院(系)名称电子与信息工程学院专业班级

学号

学生姓名

指导教师

起止时间:

课程设计(论文)任务及评语

院(系):电子与信息工程学院教研室:

摘要

电子记分牌在许多领域中得到普遍应用,在体育比赛、各种现场抢答比赛、各种互动游戏中均能见其身影。在篮球比赛中,若采用人工计分的方法势必非常繁琐且错误率高,而采用电子记分牌就能高效的解决这一问题,因此,设计出符合当前各类要求的篮球比赛电子记分牌就有了实际意义,让现场观众和通过有线直播观看的篮球爱好者能更清楚的得知当前的比分、进行时间、剩余时间、犯规、得分等方面的了解。

本次设计的篮球比赛电子记分牌,主要用于对篮球比赛的比赛双方实时记分并且具有倒计时时钟显示功能。基于篮球比赛的特点,我们选取了专门的设计方案。本次实验的软件仿真主要使用的是Multisim10,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。倒计时时钟电路通过555定时器组成的多谐振荡器产生秒脉冲信号,送给倒计时电路,计时电路有12分钟倒计时,二十四秒违例倒计时等,输出则送往译码显示电路,在相关时间到时,会发出声光提示。

关键词:电子记分牌;倒计时时钟显示;Multisim10;555定时器;

目录

第1章绪论 (1)

1.1篮球比赛电子记分牌的开发背景 (1)

1.2本文研究内容 (1)

第2章设计方案 (1)

2.1篮球比赛电子记分牌设计方案论证 (1)

2.2篮球比赛电子记分牌总体设计方案 (2)

第3章单元电路设计 (3)

3.1倒计时电路设计 (3)

3.1.1 秒脉冲产生电路 (3)

3.1.2 倒计时主体电路 (3)

3.1.3 显示电路 (4)

3.1.4 提示电路 (4)

3.2记分电路设计 (5)

3.2.1 一分产生电路 (5)

3.2.2 二分产生电路 (5)

3.2.3 三分产生电路 (6)

3.2.4 加减计数电路 (6)

3.2.5 显示电路 (7)

第4章Multisim仿真调试 (8)

4.1倒计时电路调试 (8)

4.2记分电路调试 (9)

第5章焊接、调试与实物测试 (10)

第6章设计总结 (12)

附录Ⅰ总体电路图 (14)

附录Ⅱ元器件清单 (15)

第1章绪论

1.1篮球比赛电子记分牌的开发背景

随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。篮球比赛电子记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。

当前红的发紫的NBA赛场以及国内外的各种篮球联赛深受广大篮球爱好者的喜欢,在各类大中型国内、国际比赛中经常要用到计分器,而且记分器功能的好坏以及适应比赛的能力多少直接影响着人们在观看比赛时对比赛进度和胜败的了解,影响人们的观看比赛时的体验。因此,设计出符合当前各类要求的篮球比赛电子记分器就有了它的实际意义。

记分器不但在以上场合取到很大的作用,而且在现代制造业中也有很重要的作用。现代电子技术飞速发展,各类智能化产品相应而出,电子计分器就是一个很好的例子。数字电路具有电路简单、可靠性高、成本低等优点,本设计就是以数字电路为核心的智能记分器。

1.2本文研究内容

任务要求:

篮球比赛电子记分牌是根据篮球比赛特点设计的记分显示系统,能实现比赛时间和分数的实时显示,由记分电路、倒计时电路、显示电路与驱动电路等部分构成。

技术要求:

1、采用中、小规模数字集成电路实现。

2、有得1 分、2 分和3 分的情况,还有减分的情况,电路要具有加分、减分及显示的功能。

3、有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。

4、有比赛规则规定的其他计时、记分要求。

5、利用Multisim(或EWB)进行电路仿真与调试。

第2章设计方案

2.1篮球比赛电子记分牌设计方案论证

总体电路主要分为倒计时电路与记分电路两部分组成,根据流程中的不同元器件和设计思路的不同制定了两套方案,两套方案各有优点和缺点,且都能完成设计要求,具体方案如下:

方案一:倒计时电路利用二进制加法计数器74LS161的同步置数的功能让计数器从6到15循环计数,然后利用逻辑门电路非的功能让6—15计数转换成9—0倒计时,最后再利用CD4511译码器译码,让7段数码管显示倒计时。根据篮球比赛的特点,设计出12分钟倒计时电路。记分电路设置0-9十个数字输入键盘,通过编码器把十进制编码译成二进制数码DCBA,把DCBA分别输给移位寄存器的CP信号来源,数码显示管通过输入寄存器的DCBA来显示分数,设计出最多可记录三位数的分数。

方案二:倒计时电路采用十进制同步加减计数器74LS192减法计数功能实现9-0倒计时,最后再利用CD4511译码器译码,让7段数码管显示倒计时。根据篮球比赛的特点,设计出12分钟倒计时电路。记分电路采用利用74LS161工作时的计数原理,当相应分数开关按下时74LS161正常工作,分别将一个(0000-0001)、两个(0000-0001、0010-0011)、三个(0000-0001、0010-0011、0100-0011)上升沿脉冲给74LS192,由74LS192完成加减1、2或3分,最后再利用CD4511译码器译码,让7段数码管显示完成计分功能。脉冲输入后,74LS161停止工作(使能端输入低电平),从而完成计分操作,设计出最多可记录三位数的分数。

经比较此次用方案二。方案一的倒计时电路利用二进制加法计数器74LS161和非门实现了倒计时功能,方法虽然巧妙,但是电路结构较为复杂,在焊接过程中会有一定的难度。记分电路设置0-9十个数字输入键盘,此方法过于笨拙,并且操作起来比较麻烦。而方案二倒计时电路采用十进制同步加减计数器74LS192减法计数功能直接实现9-0倒计时,此方案简单直接,焊接起来也比较简单。方案二的记分电路采用利用74LS161工作时的计数原理,产生一定个数的计数脉冲,与方案一相比,更加智能和简单,并且计分脉冲到达后在数码管上显示分数的延时短,而方案一需要较长的时间才能在数码管上显示出相应的分数。

综合考虑技术指标和实验要求此次设计采用方案二。

2.2 篮球比赛电子记分牌总体设计方案

经过以上的方案论证,此次设计采用方案二比较合适。方案二以简单易行的方法基本上实现了设计要求的记分和倒计时功能,并且能够应用到实际生活中。总体电路主要由倒计时电路和记分电路两部分组成,两部分电路各自工作,互不影响。总体设计方案框图如下图2.1所示。

倒计时部分:

记分器部分:

图2.1 总体设计方案

第3章单元电路设计

3.1倒计时电路设计

3.1.1 秒脉冲产生电路

由555定时器产生秒脉冲信号,可通过控制开关的闭合与断开来控制篮球比赛倒计时的开始与暂停。电路如图3.1所示。

图3.1 秒脉冲产生电路

3.1.2 倒计时主体电路

根据篮球比赛的特点,比赛总共分为4小节,每节12分钟,因此设计出倒计时为12分钟的电路。倒计时电路采用十进制同步加减计数器74LS192减法计数功能实现9-0倒计时。比赛开始对U11、U12与U2分别进行预置数为0001、0001与1001,使得分钟部分显示为11:59。利用555定时器给产生秒脉冲信号给U2的DOWN端,让秒表低位电路工作,开始倒计时,秒表低位每倒计时到0就给秒表高位显示电路一时钟脉冲,U2的BO端输出至秒十位的U1的DOWN端,进行60秒倒计时。再将U1的BO输出接至U12的DOWN端,进行分钟倒计时,U12的BO输出端接至U11的DOWN端。电路如图3.2所示。

图3.2 倒计时主体电路

3.1.3 显示电路

显示电路部分利用CD4511译码器译码,让7段数码管显示倒计时。其中CD4511的A3、A2、A1、A0接口分别与74LS192的QD、QC、QB、QA相连接。电路如图3.3所示。

图3.3 显示电路

3.1.4 提示电路

篮球比赛每节结束后伴随着声音提示与灯光提醒。将U11的BO端接收到的信号接至蜂鸣器与发光LED告知每节结束。电路如图3.4所示。

图3.4 提示电路

3.2记分电路设计

3.2.1 一分产生电路

一份键电路的产生主要使用74LS161芯片。根据该芯片的功能,当从0000变化到0011时,QA与QB通过与非门接到EP,CLR则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变,QB输出的则是一个脉冲了(由0000—0001)。电路如图3.5所示。

图3.5 一分产生电路

3.2.2 二分产生电路

二分键电路的产生主要使用74LS161芯片。根据该芯片的功能表所示,当从0000变化到0011时,QA与QB通过与非门接到EP,CLR则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来结果的为零,使它保持0011的状态不变,QA输出的则是两个脉冲了(由0000—0001、0010—0011)。电路如图3.6所示。

图3.6 二分产生电路

3.2.3三分产生电路

三分键电路的产生主要使用74LS161芯片。根据该芯片的功能表所示,当从0000变化到0101时,QA与QC通过与非门接到EP,CLR则通过一个开关来控制,当到达0011的时候,经过QA与QC的与非门出来结果的为零,使它保持0101的状态不变,QA输出的则是三个脉冲了(由0000—0001、0010—0011、0100—0101)。电路如图3.7所示。

图3.7 三分产生电路

3.2.4 加减计数电路

由一、二、三分产生电路产生的脉冲信号经三输入或非门输给74lLS192,计数器74LS192遇一个上升沿计数端改变1,遇两个上升沿计数端改变2,遇三个上升沿计数端改变3,从而完成对一分、二分或三分的控制。通过双向开关H切换电路控制加法电路和减法电路,当H接UP时为加法部分,将H接至U3的DOWN端,为减法部分,从而控制比赛分数的加减。开关C与U8、U9、U10的CLR端与电源相接,当开关闭合时,分数置零,开始重新计数。该电路最多可累计3位数比赛分数,满足了篮球比赛计分要求。电路如图3.8所示。

图3.8 加减计数电路

3.2.5 显示电路

显示电路部分利用CD4511译码器译码,让7段数码管显示分数。其中CD4511的A3、A2、A1、A0接口分别与74LS192的QD、QC、QB、QA相连接。电路如图3.9所示。

图3.9 显示电路

第4章Multisim仿真调试

4.1倒计时电路调试

倒计时电路实现12分钟倒计时计数功能,时间间隔约等于1s,具有启动,复位与暂停/继续功能。开关A控制电路的复位功能,开关A接通地时,倒计时电路复位,开关A接通电源时,倒计时正常工作。开关B控制电路的暂停/继续功能,开关B打开时,倒计时电路暂停工作,开关B闭合时,倒计时电路继续工作。当12分钟倒计时结束时,发光二极管闪烁,蜂鸣器报警,提示计数结束。经Multisim仿真调试后,较好的完成了设计要求,仿真结果如下图4.1所示。

图4.1 倒计时总体电路

4.2记分电路调试

经过的一分、两分、三分电路以及加减法电路的实现。将一分、两分、三分信号脉冲通过或非门连接到控制加减电路的开关上,便可以实现篮球计分器的功能了。同过1,2,3个开关键来分别控制一分脉冲,两分脉冲,三分脉冲;H键是加减切换的按钮,当H接UP时为加法部分,将H接至U3的DOWN端,为减法部分,从而控制比赛分数的加减。C键是用来清零,C键闭合时,记分电路清零,以便下次再次使用。C键打开时,记分电路正常记分。当先后输入一个1分脉冲,一个2分脉冲,一个3分脉冲后仿真结果如下图4.2所示。

图4.2 记分器总体电路

第5章焊接、调试与实物测试

第6章设计总结

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。这次课程设计的题目是篮球比赛数字计分器。初看题目不知该如何下手,毕竟课程设计不同于实验课,电路图都要自己设计。不过还是在不断的坚持和努力之下很好的完成了这次的数字电路课程设计。通过这次的课程设计,我有很大的收获。

1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。如刚开始用到了74LS192加/减计数器,CD4511译码器等,并不知道这些芯片的功能和应用,在后来查阅资料和多次实践的基础上终于搞明白了这些芯片的用法,并且到后来的熟练应用。每遇到一个问题都是进一步学习和加深对电路,原理,元器件学习的过程,每解决一个问题,每实现一个功能,都会十分的兴奋。当然,还有许多的元器件,电路原理等有待于进一步的学习,对Multisim的学习也是一个开始,希望今后也能进一步学习到更多这一功能强大仿真软件的功能。

2、查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。这次的课程设计是我充分认识到图书馆资源的重要性,以前都很少去图书馆查阅资料,实在是一个大的失误,以后要学会充分利用图书资源。

这次设计是一个难得的机会,也将成们为大学四年中十分宝贵的经历,它既是对我们所学理论知识的一次有效检验,也是对我们的实践认识和能力的一次提高,通过这次数字逻辑实训设计,我们相信对本专业的兴趣会更加浓厚,对本专业知识有更深的了解。

参考文献

[1]韩学军,数字电子技术基础[M].北京:中国电力出版社

[2]王义军,模拟电子技术基础[M].北京:中国电力出版社

[3]童诗白,模拟电子技术基础[M].北京:高等教育出版社.

[4]阎石,数字电子技术基础[M].北京:高等教育出版社.

[5]康华光,电子技术基础[M].北京:高等教育出版社.

[6]张庆双,电子元器件的选用与检测[M].机械工业出版社,2010

[7]邱关源,电路第五版.北京:高等教育出版社.

[8]鲁宝春,王景利,电子技术基础实验.东北大学出版社.

[9]艾永乐,数字电子技术基础.北京:中国电力出版社.

[10]陈汝全,电子技术常用器件应用手册.机械工业出版社.

附录Ⅰ总体电路图

附录Ⅱ元器件清单

名称型号封装数量1/4W色环电阻5% 330Ω直插55 1/4W色环电阻5% 1kΩ直插 2 1/4W色环电阻5% 330kΩ直插 2 1/4W色环电阻5% 1MΩ直插 2 独石电容0.068μF 50V 直插 1 独石电容0.1μF 50V 直插 2 独石电容0.33μF 50V 直插 2 蜂鸣器5V 1

LED 3mm红直插 2 7段LED数码管1位共阴/红色0.5英寸直插7 二输入与非门74LS00 DIP-14 2 四输入与非门CC4011 DIP-14 1 异或门74LS86 DIP-14 1 非门74LS04 DIP-14 4 计数器74LS161 DIP-16 3 计数器74LS192 DIP-16 7 定时器NE555P DIP-8 2 BCD码驱动器CD4511 DIP-16 7 单刀单置开关 6 单刀双置开关 2 管座8脚直插 2 管座14脚直插8 管座16脚直插18

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

都兴彦课设论文

辽宁工业大学计算机网络课程设计(论文) 题目:河北大学网络的规划与设计 院(系):管理学院 专业班级:电子商务101班 学号: 101605003 学生姓名:都兴彦 指导教师:杜颖 教师职称:副教授 起止时间:2012.06.30至2012.07.09

课程设计(论文)任务及评语 院(系):电子与信息工程学院教研室:网路工程 学号101605003 学生姓名都兴彦专业班级电商101 课程设计 (论文) 题目 河北大学网络的规划与设计 课程设计(论文)任务本次课程设计的主要内容及任务: 以河北大学校园为背景,设计一个校园网方案。 淮海工学院的本部分为办公区、教学区和生活区等部分。现假设:办公区中各楼宇名及需要信点为:教务处,党政办公楼,图书馆;教学区中,除计算机系大楼需要120个信息点外,其余个系部大楼及教学楼(包括讲堂楼、机械楼、土木楼、海洋学院楼、大学生活动中心楼、教学主楼等)各需设置信息点的个数为40,生活区中每个建筑物里每个门洞设置1个信息点。假设使用预留的INTERNET地址,试根据本部校园网的应用需求和管理需求、各建筑物的地理分布、信息点分布,设计出本部的校园网方案。方案中应明确学院网管中心的位置,确定拓扑方案,完成设备选型,注明各种设备、设施和软件的生产商、名称、型号、配置与价格,并分别给出其价格的出处(如网站等),基本确定方案的预算。 指导教师评语 及成绩成绩:指导教师签字: 学生签字:___________ 2012年07月09日

辽宁工业大学课程设计说明书(论文) 目录 第1章设计背景 (1) 第2章需求分析 (2) 2.1校园网的功能概述 (2) 第3章网络总体结构设计方案 (6) 3.1网络总体规划设计..................................... 错误!未定义书签。第4章设备选型 (10) 第5章总结 (12) 参考文献 (13)

篮球数字记分牌

数字电子技术课程设计篮球比赛数字记分牌 院系:机电工程 专业:电子信息工程 年级(班级):2013级(2)班 姓名:叶庆缘 学号: 20134082035 指导教师:陈丽华 完成日期: 2015 年 3 月 21日 成绩:

目录 1 引言 (1) 2 设计原理与方案 (1) 2.1 设计任务与要求 (1) 2.2 设计方案 (1) 3 电路设计与调试 (2) 3.1 模块设计 (2) 3.1.1 计数\编码电路 (2) 3.1.2 译码显示电路 (2) 3.1.3 开关控制输入电路 (2) 3.2 单元电路的设计 (3) 3.2.1 计数\编码电路的设计 (3) 3.2.2 译码\驱动\显示电路设计 (4) 3.2.3 信号输入控制电路设计 (6) 4 结论 (6) 5 设计心得体会 (6) 参考文献 (7) 附录A 整体电路图 (8) 附录B 元件清单 (9) 附录C 实物图 (10)

篮球比赛数字记分牌 1 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力. 2 设计原理与方案 2.1 设计任务与要求 2.1.1设计篮球比赛数字计分牌,以达到以下要求: 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 2.2 设计方案 1、篮球比赛数字计分牌要求能分别记录两队的得分的情况,可清零重新开始比赛,所以设置一开关用来复位。 2、计分牌由百、十、个位组成,用LED数码管(共阴)显示,配用相应译码器CD4511。 3、分数的个位与十位计数用十进制加/减计数器(CD40192)来实现。百位由JK触发器组成只呈现1或不显示状态,即达到100分前只有个位与十位显示,计分系统最多只有199分。

辽宁工程技术大学校园网组建及维护

辽宁工业大学局域网组建及维护结课(论文) 题目:辽宁工程技术大学校园网组建及维护 学院:管理学院 专业班级:信管112班 学号:111603049 学生姓名:张宇彤 指导教师:褚跃龙 教师职称:讲师 起止时间:2013.11.9-11.15

结课论文任务及评语 学院:管理学院教研室:信息管理与信息系统

目录 1 概论 (4) 2 辽宁工程技术大学校园网建设的必要性 (4) 3 辽宁工程技术大学校园网建设的设计目标 (5) 4 辽宁工程技术大学校园网的总体设计思想 (5) 5 辽宁工程技术大学校园网建设的整体内容 (6) 6 辽宁工程技术大学校园网建设的具体内容 (6) 6.1 结构化布线设计 (6) 6.2 设备选择设计 (7) 6.3 网络中心的设计 (7) 6.4 各种子网的设计 (8) 6.5 应用信息系统建设需求分析 (9) 6.6 网络安全方案设计 (9)

1概述 辽宁工程技术大学始建于1949年,原名阜新矿业学院。在六十年代初期经院校调整,四校合并,校址选于辽宁省阜新市,定名为阜新煤矿学院,成为东北地区唯一一所煤炭高等院校。1978年确定为原煤炭工业部直属的全国重点大学,在煤炭行业享有“黄埔军校”的美誉,被公认为中国煤炭行业的最高学府之一。 学校共有阜新中华路校区(即校本部)、阜新玉龙校区(即北校区)和葫芦岛校区三个校区,总占地面积283万平方米,校舍总建筑面积约73万平方米。学校建有国际会议中心、科技大厦、大学生活动中心、羽毛球馆、网球馆等各类公共服务设施,无线网络覆盖校园,为全校师生员工的学习、生活、学术和文化交流提供了良好的条件。 高校校园网的网络建设与网络技术发展几乎是同步进行的。高校不仅承担着教书育人的工作,更承担着部分国家级的科研任务,同时考虑未来几年网络平台的发展趋势, 为了充分满足高校骨干网对高速,智能,安全,认证计费等的需求,可以利用万兆以太网的校园网组网技术。 构建校园网骨干网,实现各个分校区和本部之间的连接,以及实现端到端的以太网访问,提高了传输的效率,有效地保证了远程多媒体教学、数字图书馆等业务的开展。 2 辽宁工程技术大学校园网建设的必要性 网络技术-----特别是以太网技术迅猛发展,1000M以太网已经步入校园,万兆标准也已经公布。从2005年至今,乃至今后几年时间内。近两年,万兆以太网已经开始在高校校园网中规模化应用,下一代以太网标准也已经确立为10万兆标准。同时,随着cernet2的启动,IPV6技术也已经在校园网中实验并逐步应用。简单来说,对于校园网:丰富的应用是关键,而稳定可靠的网络是基础,完善的安全和管理手段是保障。 信息时代的变革与发展,带动了整个世界的深刻变革。网络、计算机技术的进步和应用软件的提高,使计算机变的越来越容易使用,它们正被广泛地使用,并迅速改变着人们的生活、学习、工作方式。在一个好的校园网里人们用计算机和网络进行工作、交流和学习,计算机改变了人的教学方式,同时也改变了人的

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

软件学院行政局域网规划

辽宁工业大学计算机网络基础实训报告 题目:软件学院行政局域网规划 院(系): 软件学院 专业班级: 学号: 学生姓名: 指导教师: 教师职称: 起止时间:2012.5.23-2012.6.05

实训任务及评语 院(系):软件学院教研室:网络教研室学号学生姓名专业班级 实训题目软件学院行政局域网规划 实训任务实训任务及要求: 1、掌握基本网络的组建方法。 2、掌握子网划分的方法。 3、利用VISIO软件画网络拓扑图。 4、利用交换机的功能来配置各个端口的IP。 5、安装防火墙,设置网络安全设置。 指导教师评语及成绩 该生在《计算机网络基础》课程设计过程中,目的明确,实训相关知识掌握牢固,拓扑正确,设计相关知识掌握良好。针对题目考虑问题全面,深入探讨所遇实践问题,方案设计正确,设计结果可靠,报告书写认真,语言流畅,图表表达清晰、规范,具有独立分析解决问题的能力和创新精神或对一方面有深入探讨,学习态度认真。出色地完成了本课程设计任务。 成绩:指导教师签字: 2012 年 6 月 15日

目录 第1章实训目的与要求 (1) 1.1 实训目的 (1) 1.2 实训环境 (1) 1.3 实训的预备知识 (1) 1.4 实训要求 (1) 第2章实训内容 (2) 2.1网络总体设计 (2) 2.2网络详细设计 (4) 2.3网络管理软件的应用 (18) 第3章实训日记 (23) 第4章实训总结 (24) 参考资料 (26)

第1章实训目的与要求 1.1 实训目的 本实训要求学生能够对网络进行子网划分,掌握WEB、FTP服务器的组建方法,了解WEB、FTP服务器的用途及测试方法。 1.2 实训环境 网络环境下,多媒体计算机一台(每人)。 1.3 实训的预备知识 该实训安排在计算机网络基础课程结束后进行,学生已经掌握了一定的网络基础知识。 1.4 实训要求 实训过程中,要严格遵守实训的时间安排,听从指导教师的指导。正确地完成上述内容,记录实习日记,规范完整地撰写出实训报告。

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

学生记者团简介

扬帆起航,再创辉煌 学生记者团成立十周年校报学生记者团,隶属于校党委宣传部,不仅是我校重要的学生组织之一,更是我校较为权威的校级传媒机构,团队本着记录校园动态,反映同学心声,促进师生了解,增进校际交流,丰富校园文化的宗旨,着力做好我校对内对外的宣传工作,是联系学校与同学的重要纽带。 校报记者团简介 我团成立于2001年5月25日,自成立至今已经历十年风雨,从各院推荐优秀通讯员到2006年自主选拔,已有10届学生记者加入这个大家庭。学生记者团下设四个部门,即办公室、采编部、外联部、传媒部。其职能分别为: 1.办公室:主要负责记者团日常工作以及日常值班、例会安排、会议考勤、会议记录、文书档案管理、,并协调各项活动与人员安排、团内活动经费财务管理,加强各部门之间联系。 2.采编部:主要负责校园大型活动采访报道的组织和系列报道的策划工作,完成大型新闻采写的任务,并负责凌波杯征文大赛审稿工作。能够及时准确、多角度、全方位地报道,追踪采访报道校园大型活动、优秀个人事迹等。 3.外联部:主要负责各类活动的组织与承办,保持与校学生会,校社团联合会以及各个学院之间的紧密联系。及时提供各学院的采访素材。并就活动策划洽谈商家赞助 4.传媒部:负责完成活动所用海报、宣传板,配合各部开展工作,并对重要事件进行追踪摄影,同时负责校报的漫画与图片展出 校园的文化传播者 校报学生记者团一直致力于弘扬校园文化,报道校园内师生优秀事迹及各学院文化活动,捕捉校园内的点点滴滴,我团已多次采访报道校新生文艺汇演,校模特大赛,“丰琪杯”足球赛等重大活动。也保证了在第一时间为学校校园网提供充足稿件,照片等信息资料。同时,校报记者团学生记者团与各个学院保持紧密联系,及时准确报道各学院的常规活动,深受各个学院的一致好评,在学生组织间建造了良好的交流氛围。截至上学期期末,校报学生记者在本学期共上交稿

篮球比赛数字计分牌

烟台南山学院 数字电子技术课程设计题目篮球比赛数字计分牌 姓名: 所在学院:计算机与电气自动化学院 所学专业:电气工程及其自动化 班级电气工程1006班 学号 指导教师 完成时间: 2012年12月

内容摘要 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。电子计时计分系统可取代传统记分员手动翻动记分牌的工作模式,对比赛的比分和时间进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同的比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类制胜类,得分类等多种类型。 电子计时计分器系统是一个负责各类体育竞赛技术支持系统前沿(比赛场地)的数据采集和分配的专用系统,它负责各类体育竞赛结果、成绩信息的采集处理、传输分配,即将比赛结果数据通过专用技术接口、界面、协议,分别传送给裁判员、教练员、计算机信息系统、电视转播与评论系统、现场大屏幕显示系统等。 由于体育竞赛的不可重复性,决定了电子计时计分系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。因此,电子计时计分系统自身组成独立的采集、分配、评判、显示发布系统,做到所以信息的实时、准确、快捷、权威。电子计时计分设备是各类体育竞赛中不可缺少的电子设备,电子计时计分系统设计是否合理,关系到整个体育比赛系统运行的稳定和可靠,并直接影响到整个体育比赛的顺利进行。电子计时计分系统是体育竞赛的重要工程项目,是关系到竞赛成败的关键工程,每一个单项体育竞赛都具有对应的专门电子计时计分工作系统。这些工作系统各不相同,但都是各单项成绩处理系统的前级数据采集系统,除了提供计算机成绩处理系统竞赛数据以外,还需要在部分项目中连接电视转播等其他工作系统,电子计时计分需要极高的工作稳定性和可靠性。由于LED显示牌是目前公共场所中信息发布的主要载体,因此该系统也可拓展使用到会展中心、广场、银行、市场等公共场所及车站机场等交通运输行业。尤其是一些发布数据并需要经常手动更新的领域。因此,该项目有着重要意义。 关键词:电子计时计分 LED数码管篮球记分数电

辽宁工业大学校史馆解说词定稿

辽宁工业大学校史馆解说词 尊敬的各位领导、来宾,亲爱的同学们: 大家好!欢迎来到辽宁工业大学校史馆参观,我是校报记者团学生记者---,也是本次校史之旅的解说员。 六十余载弦歌不辍,一甲子薪火相传,辽宁工业大学是一所有着悠久历史和学术积淀的辽宁省属工科院校。自1951年建校至今,学校已经走过了六十年的发展历程。 走进校史馆展厅,首先映入我们眼帘的是“砺器悟道”四个遒劲、有力的大字,“砺器悟道”的校训精神是辽宁工业大学文化的精髓所在,它指引广大学子在“器”的磨砺中领会“道”的深远。与“砺器悟道”校训相得益彰的是“勤学进取严谨求实”的校风。1986年3月,学院向全院师生提出了这八字校风,是学校风气的精辟概括和真实写照。校歌由著名诗人易仁寰作词,孟令红谱曲。不仅形象的描绘出学校在凌河之岸、渤海之滨的优越地理位置,更充分的反映莘莘学子胸怀理想,志在四方的远大志向。校训、校风、校标、校歌共同构成了辽宁工业大学重要的精神文化成果,已经深深的融入了学校的文化血脉。 了解了最为深邃的辽工大精神,接下来展示在我们眼前的是校史馆的历史沿革部分。透过这一幅幅穿梭甲子的画卷,我们仿佛可以看到辽宁工业大学一路从历史的长河中姗姗走来。 这幅照片拍摄于1951年建校之初,是学校模范工作者的合影留念。拓荒者的心血汗水哺育幼苗茁壮成长,新中国建立之初,国家工业基础薄弱,为培养合格的建设者和接班人,第一代辽工大人付出了辛勤的努力,他们拓荒创业、艰辛办学,为今日的局面打下了良好的基础。这幅照片很有趣,拍摄于1956年1月,大家的目光纷纷投向了他们的左上方位置,面带笑容,目光炯炯,他们带着满心希望被派向祖国的大江南北,将最初的辽工大精神延展到祖国的四方。到1960年4月,学校几经更迭,升格为锦州工学院,时任国家副主席的董必武和中国科学院院长郭沫若为学院题写校名。到1961年9月,学院首次招收本科学生91名,从此办起了四年制的本科大学,这对学校的发展来说是一个质的飞跃。 文革期间,我国的教育事业遭到了严重破坏。1969 年10月,锦州工学院停办。直到1978年4月,才恢复锦州工学院,复办后第一次录取新生246名。我校迎来了文革结束后教育事业发展的春天。这幅照片展示了80年代锦州工学院的全景,学校复办之后科教文化各方面迅速复苏,整体办学水平不断提高,举办学雷锋创三好表彰大会,成立大学生诗歌朗诵会,参加辽宁省大学生田径运动会,校领导班子积极研讨工作,科研成果丰硕,先后

篮球比赛数字记分牌

广西科技大学(筹) 课程设计说明书 课题名称篮球比赛数字记分牌 系别职业技术教育学院 专业电子信息工程 班级电子Z102班 学号孙思(201002203092) 池亮(201002203090) 李友军(201002203079)学生姓名孙思池亮李友军 指导教师廖贵成

摘要: 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。数字记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。 我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。基于篮球比赛的特点,我们选取了专门的设计方案,用vhdl设计数字电路,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 关键词:篮球比赛数字记分牌vhdl LED数码管

目录 一、前言 (4) 二、设计要求 (4) 三、设计内容 (4) 1、基本原理 (4) 2、功能描述 (5) 3.程序设计 (5) 3.1 vhdl源代码...................................................................................................... 5-8 3.2 vhdl源代码分析 .......................................................................................................... 8-9 4、仿真结果 ................................................................................................................................. 9-10 四、总结............................................................................................................................. 11-12 五、参考文献 (12)

篮球赛电子计时记分牌

摘要 篮球赛电子计时记分牌是根据篮球比赛特点设计的独立的信息采集、分配、评判和显示的系统,能实现比赛时间和分数的实时、准确、快捷处理和呈现。本设计原理简单,主要采用AT89C52单片机作为微控制器,集合矩阵键盘、数码管和LED指示灯,通过键盘控制记分牌的工作状态,通过数码管和LED指示灯来反馈信息。本设计在充分考虑了篮球比赛的实际情况基础上设计,具有友好的人机交互接口,并且可以防止人为误操作造成的不良后果,具有一定的实用性。 关键字:单片机;AT89C52;计时记分牌;篮球比赛

目录 1 智能仪器仪表的简介 (1) 1.1智能仪器仪表简介 (1) 2 系统设计简介 (2) 2.1 篮球赛电子计时记分牌简介 (2) 2.2 设计要求 (2) 2.3 设计方案论证 (2) 3.系统硬件设计 (3) 3.1单片机的选型 (3) 3.2 复位和振荡电路的设计 (3) 3.3键盘输入模块的设计 (4) 3.4 信息提示模块的设计 (4) 3.5 系统总体电路 (5) 3.6 系统所用元器件 (7) 4 设计语言及软件介绍 (8) 4.1 汇编语言介绍 (8) 4.2 wave6000软件介绍 (8) 5 系统软件设计 (9) 5.1 软件系统总体设计方案 (9) 5.2软件系统流程图 (9) 5.2.2按键检测子程序设计 (12) 5.2.3 数码管显示子程序设计 (12) 5.3 控制源程序 (13) 5.3.1汇编程序 (13) 5.4 调试及仿真 (24) 结论 (26) 参考文献 (27)

1 智能仪器仪表的简介 1.1智能仪器仪表简介 仪器仪表(英文:instrumentation)仪器仪表是用以检出、测量、观察、计算各种物理量、物质成分、物性参数等的器具或设备。真空检漏仪、压力表、测长仪、显微镜、乘法器等均属于仪器仪表。广义来说,仪器仪表也可具有自动控制、报警、信号传递和数据处理等功能,例如用于工业生产过程自动控制中的气动调节仪表,和电动调节仪表,以及集散型仪表控制系统也皆属于仪器仪表。

辽宁工业大学校园网客户端(小蝴蝶)使用说明

客户端使用说明 北京安腾世纪通信技术有限责任公司 2008-05

1使用技巧 1.1 日常使用错误 ●请参见第4节说明; 1.2 设置 ●帐号中的“认证服务器IP”、“认证服务”您不必输入或者刷新。上述内容如为空,在 认证过程中,客户端将自动获取。 ●您可以使用“客户端设置”功能,来方便您的日常使用。请详细查看2.3 说明,该章节 说明对您的日常使用非常有帮助; ●如果您的系统存在多网卡,请勿必选择正确的网卡进行认证。 ●网卡的MAC地址和IP地址,以“帐号状态”窗口中“网卡信息”页面显示的信息为准。 1.3 操作 (图一) ●上线 在未通过认证状态下,点击【上线】按钮,客户端既开始认证。 ●下线

在认证通过状态下,点击【下线】按钮,客户端既可下线。 ●取消认证 如客户端正在认证中,点击【取消】按钮,客户端既可取消本次认证操作。 ●您也可以点击【更多功能】按钮,让客户端显示扩展工具条(见图二)。并点击【上线】 按钮来开始认证。 注意:使用认证功能前,您必须建立您的帐号。 1.4 如何使用客户端其他功能 您可点击【更多功能】按钮,让客户端显示扩展工具条(见图二)。 扩展工具条中,您可以使用更多的功能。 ●客户端设置 这里您能设置客户端是否保存认证返回消息和即时消息。 设置客户端使用流量提醒、使用时长提醒。 设置客户端是否开机启动。 ●历史消息 这里,您能查看客户端为您保存的认证返回消息、即时消息。 ●自助服务 点击该按钮,客户端将为您打开自助服务页面。 ●搜索服务器、搜索服务 对于使用外网或二次认证的用户,该功能是辅助的。 如您的服务或服务器IP为空,在认证时,客户端将自动获取上述信息。 您也可以通过该功能手动更新您的服务器IP和服务;

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球比赛电子记分牌数电课程设计

广东石油化工学院 课程设计说明书 课程名称:数字电子技术课程设计 题目:篮球比赛电子记分牌 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

篮球比赛电子记分牌 一、设计任务与要求 设计一个符合篮球比赛规则的记分系统。 (1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。 (2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。 (3)有比赛规则规定的其他计时、记分要求。 二、方案设计与论证 整个电路分为倒计时,记分牌,持球与暂停秒牌,加时与中场休息。 倒计时部分:总比赛时间为40分钟,分4个小节,每节10分钟,需要用到4个十进制计时器;记分牌可以根据情况分别加1分2分3分,所以需要3个脉冲分路,同时添加一个减法器,以解决误判情况;设置一个时间暂停,暂停灯会亮,在比赛进行时,设置一个持球时间倒计时,持球结束可以重置为零,若持球时间到,要有灯提示 电路框图为

三、单元电路设计与参数计算 输入输出 清零置数加计数减计数数据 QA QB QC QD CLR LOAD‵UP DOWN A B C D 1 ×××××××0 0 0 0 0 0 ××d0 d1 d 2 d 3 d0 d1 d2 d3 0 1 ↑ 1 ××××递增计数 0 1 1 ↑××××递减计数 0 1 ↑ 1 ××××保持 CLK CLR‵LOAD‵ENP ENT 工作状态 ×0 ×××置零 ↑ 1 0 ××预置数 × 1 1 0 1 保持 × 1 1 ×0 保持(但C=0) ↑ 1 1 1 1 计数 1.倒计时 电路图如下 如图所示,元件U1,U2,U3,U4是4个74LS192,而U5-U8是七段字符显示器。由于我们要做的是一个每节10分钟的比赛倒计时,所以让分位U5置数为1001,显示为9;秒十位U6置数为0101,显示为5;而U8是显示的是比赛的节数,所以置数为0001,显示为1。接一个始终脉冲V1至U3DOWN处,U3BO输出接U2DOWN,使U6U7显示是一个60秒倒计时。而U2的BO输出接U1DOWN,使得分

【最标准】数字电子技术课程设计 篮球比赛数字计分牌

烟台南山学院 数字电子技术课程计题目篮球比赛数字计分牌 姓名: 所在学院:工学院电气与电子工程系 所学专业:自动化 班级: 学号: 指导教师: 完成时间:

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分课程设计代码:07120052 适应班级:电气工程、自动化 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是自动化、电气工程及其自动化专业的一门专业必修课,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。着重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 2、课程设计的教学要求 数电课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或

篮球比赛记分表记录方法

篮球比赛记分表记录方法 对4 X 12分钟比赛的各节中:无论何时,队员发生侵人犯规、违反体育道德的犯规、取消比赛资格的犯规(对第54条打架除外)或技术犯规,记录员还应登记犯规队员的球队犯规。 他要登记在记录表上队名与队员姓名之间的"全队犯规"的方格内。方格有4排,每排各有4个方格,每排分别在每节(以及可能发生的决胜期)使用。 当某队的队员发生犯规时,记录员要依次在方格内用一大“×”划掉从1至4的方格。 此后,当出现第5次犯规时,规则第57条(全队犯规--处罚规则)的规定开始实行。累积分: 记录员要记录两队按时间顺序得分的累积分一览表。 记录表上有4个“累积分”栏。 每一栏含有4列竖式方格: 左侧成对的两列方格给“A”队记录,右侧成对的两列方格给“B”队记录。 在中间两列方格内是两队的累记分(160分)。 对有效的投篮得分,记录员首先要画一斜线/;对任一有效的罚球得分,记录员要画一涂实的圆圈。在球队刚得分时,将其累积分按上述方法记录在总得分上。然后在新的总得分数同侧,(新的/或。的旁边)的空格内,记录员要登记投篮得分或罚球得分的队员号码。 记录员必须遵循这些附加的要求: 记录员投篮得3分时应在适当的栏内画一圆圈套住该队员的号码。 记录球队将球进人本方球篮得分时应记录对方球队队长得分。 记录当球没有进人球篮而得分(第43条干扰球)时应记录投篮的队员得分。 对 4 ×12分钟的比赛,在每节结束时记录员要用粗线画一圆圈套住每一队最后的得分数。 在每一半时结束时,记录员要用粗线画一圆圈套住每一队最后的得分数,并在最后的得分数和最后得分的队员号码下面画一粗横线。 在下半时和任一个决胜期开始时,记录员要从得分的中断处继续按上述的方法记录。 比赛终了时,记录员要在每队的最终得分数和最后得分的队员号码下面画两条粗横线,随后他 要画一条斜线通到该栏的底部将每队剩余的数字(累积分)划掉。 无论何时只要可能,记录员要与球场记分板核对他的累积分。如果不一致,并且他的记录是正确的话,他要立即设法纠正记分板上的比分。如无把握或其中一队对改正比分提出异议,则一旦球成死球并停止比赛计时钟时立即报告主裁判员。 总结: 每节结束时,记录员要将两队的得分填人记录表下端的适当区间内。 他也要填写最终和任一个决胜期的得分。 比赛结束时,记录员要填写最后的比分和胜队的队名。 然后记录员要在记录表上签字,接着由计时员、3O秒钟计时员、副裁判员和主裁判员进行会签。 主裁判员最后在记录表上签名,此举主裁判员最后在记录表上签名,此举结束了对该场比赛的管理。 注:如果某队长在记录表的“球队抗议队长签名”栏内签名,记录台人员和副裁判员均要留下等候主裁判员的处理,直至他允许大家离开。

数字篮球计分器电路设计

XXXXXX大学 电子技术课程设计报告 题目:数字篮球计分器电路设计 学年:2012-2013学期:第一学期 专业:自动化班级:1002 学号:XXXXXXXXX 姓名:XXXX 指导教师及职称:XXXX 讲师 时间:2012年10月15日-2012年10月19日 XXXXXX学院

设计课题题目:数字篮球计分器电路设计 一、同组成员:XXX XXX XX XXX 组长:XXXX 二、设计任务与要求 1.分别记录两队得分情况; 2.进球得分加2分或3分,罚球进球得分加1分; 3.纠正错判得分减3分、2分或1分; 4.分别用三个数码管显示器记录两队的得分情况; 功能描述: 1、加分功能,当按下相应的按键开关S1、S 2、S3时,分别可以进行加1、2、3分; 2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3,可以进行减分操作; 3、清零功能,当按下S0时,可以将积分清为零。 二、电路原理分析与方案设计 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。译码器显示器用于显示分数。 方案设计: 1.总体设计思路(含电路原理框图): 电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,

用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。 电路的原理框图如下图所示: 鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下: 1. XXX: 脉冲电路设计与总体电路设计 2. XXX :总体电路设计与脉冲电路设计 3. XXX :译码器设计与部分电路仿真 4. XXX :计数器设计与总体电路仿真 5. XXX :控制电路设计与资料查阅 6. XXX :控制电路设计与实验报告整理 2. 主要元件介绍 (1) 二进制加法计数器 74LS160

篮球比赛电子记分牌设计EDA

一设计思路分析 篮球比赛计时记分系统程序多而且复杂,所以用图形设计方法来设计。先把每一模块的程序写好,然后生成图形文件,最后新建一个顶层图形文件,把各模块联结起来。包括的模块有分频模块、计时模块、记分模块、脉冲产生模块、滤波模块、选择模块、声光显示模块,显示模块及消抖模块等。 程序总体框图为: 二单元电路设计 该模块对实验箱上的50MHz时钟进行分频,分成所需要的几种

不同频率的时钟。分频的原理为:对50MHz时钟每来一个上升沿计一次数,当计数到一定值的时候,计数值清零并且让输出电平取反,根据计数值的不同可以得到不同的输出频率。在本设计中分频出0.1HZ,1HZ,100Hz,200Hz,500Hz的频率,以供不同的需要。 分频模块源程序:图3 分频模块

计时模块 12进制减法计数器 这个计数器用来表示每一节比赛的分钟位,它的时钟脉冲应由前一个60进制计数器的CO提供。具备复位功能。可以将12进制改为任意进制的减法计数器。当计数结束后,计数器不再计数。 当CO有效时进行减法计数,当计数器减到0之,SO输出一个高电平。EN等于1时计数器复位,当C05等于1时实现比赛加时操作。 60进制减法计数器 这个计数器用来表示每一节比赛的秒钟位。要求在完成12个60进制的计数后,计数器不再计数。具备清零、暂停以及复位的功能。 当CLK有效时进行减法计数,CLR等于1时整个计数器清零,EN等于1时计数器复位,PAUSE等于1时计数器暂停计数,。当计数器减到0之,CO输出一个高电平。 程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity JSQ is

相关主题
文本预览
相关文档 最新文档