当前位置:文档之家› 74ls74 锁存器

74ls74 锁存器

74ls74 锁存器
74ls74 锁存器

第三部分时序逻辑电路

导读:

如果电路在某一时刻的输出状态不仅取决于电路在这一时刻的输入状态,而且与电路过去的

状态有关,也就是说电路具有了记忆功能,这种电路就叫做时序逻辑电路。时序逻辑电路中

能够完成记忆功能的电路叫做触发器,它是最重要、最基本的时序单元电路,所以,在第7

章中将首先介绍常用触发器的逻辑功能、电路结构、工作原理、特性及其描述方法等。触发

器和组合电路可以组成多种时序逻辑单元电路,如计数器、移位寄存器、随机存储器等,在

第8章中将介绍这些电路芯片的工作原理、电路组成、分析和设计方法等,同时介绍可编程

时序逻辑器件及其在数字系统设计中的应用。

7触发器

本章将按照触发器的功能分类介绍触发器的电路组成、工作原理、逻辑符号、特性描述等,其中包括RS触发器、D触发器、JK触发器、T及T'触发器、施密特触发器、单稳态触发器和无稳态单元——定时器等。

7.1 RS触发器

7.1.1 基本RS触发器

我们知道,1个非门,入高出低,入低出高;把2个非门串联起来,如图7.1.1-1(a)所示,则入高出高,入低出低;如果象图7.1.1-1(b)中的虚线那样再把与输入信号S同为高(或

140 第三部分时序逻辑电路

低)电平的输出信号Q引回到输入端并迅速移去输入信号,则电路必将永久锁定并保存原来的输入状态,所以,这种电路称为锁存器。锁存器中的2个非门总是1个导通另1个关断,只有这两种稳定状态,因此锁存器也叫做双稳态。在一种稳态下,输出处于高电平,即Q=1,我们说电路为1状态;在另一种稳态下,输出处于低电平,即Q=0,我们说电路为0状态;这样,我们就可以用锁存器保存数字0和1了。

1个用或非门接成非门而组成的锁存器电路如图7.1.1-1(c)所示,如果将图(c)中的2个或非门交叉耦合,画成如图(d)所示形式,并将或非门的2个输入端分开且令R端和S端皆

操作称为触发器置位或置数(S et)。

(3)R=1且S=0时。

第7章 触发器 141

不管触发器的现态如何,只要R =1,Q 端一定变为0,即Q n+1=0。此操作称为触发器复位或清零(R eset )。所以这种触发器既能置位也能复位,故称复位置位触发器,简称RS 触发器。

(4)R =1且S =1时。

在R 、S 同时为高电平1期间,Q 和Q 端同时为高电平,失去了二者之间的互补关系;当R 、S 信号同时去掉(即同时回到低电平0)时,输出状态将难以确定,所以,这种情况应当不使用、不允许或者尽量避免。

以上4种情况已汇总在表7.1.1-1中,此表称为RS 触发器的功能表或特性表。用图7.1.1-2所示的卡诺图化简,得 Q n+1=S +R Q n

(7.1.1-1a )

SR =0

(7.1.1-1b )

式(7.1.1-1)称为RS 触发器的特性方程,其中式(7.1.1-1b )称为约束方程。特性表、特

性方程和以后将要讲到的状态表、状态转换图是描述触发器功能的主要方法,有时还会用到波形图(或称时序图)。 表7.1.1-1 RS 触发器的特性表

RS 触发器也可以用与非门构成,如图7.1.1-3(a)所示,其特性表和特性方程与上述相

R S

142 第三部分时序逻辑电路

(a)电路(b)低电平触发的RS-触发器的电路符号

7.1.2 同步RS触发器

基本RS触发器实现了状态锁存并能用触发信号改变状态,从而使电路具有记忆功能。但是有3个问题需要解决,第一,不能与系统的其它部分同步工作;第二,R、S不能同时为1;第三,怕干扰。

在数字电路或数字系统中,各个部分必须同步协调工作。而基本RS触发器的特点是,输入一到达输出立即改变状态。那么,怎样才能使基本RS触发器具有同步功能呢?

大家知道,1个与门,设有2个输入S、CP,CP=0时,与门输出恒等于0,好象门被关闭,无论S怎样变化,输出都不受S的影响;而当CP=1时,与门的输出恒等于S,好象门已经打开,输出始终跟随S变化。根据这一思路,在基本RS触发器R、S输入端各加1个与门,并用CP信号进行控制,如图7.1.2-1(a)所示,这就是同步RS触发器。工作原理如下:CP=0时,门G3、G4被封锁,G3、G4输出均为低电平0,输入信号R、S不能到达基本RS触发器的输入端,触发器保持原状态;当CP=1时,门G3、G4打开,输入信号R、

第7章触发器143

在t1之前,CP脉冲没有到达,输出Q为初始状态0(图中标注为“初态”),Q为高电平。

在t1时,第1个CP到达,在CP=1期间,S=1、R=0,触发器置1;CP脉冲结束之后,触发器仍锁定为1状态(图中标注为“置1”),故Q=1、Q=0。

在t2时,第2个CP到达,CP=1期间,S=0、R=1,触发器置0;CP脉冲结束之后,Q仍锁定为0状态(图中标注为“置0”),Q=1。

在t3~t4期间,CP=1、S=1、R=1,所以Q和Q同时为高电平1(图中标注为“病态”)。

在t4~t5期间,CP=1时,S变为0,R=1,触发器置0,所以Q=0,Q=1(图中标注为“置0”)。

在t5~t6期间,S=0、R=0,触发器保持原状态0不变(图中标注为“保持0”)。

在t6时,CP=1、R=0、S中有1个正向干扰,所以触发器被置1,即使在CP=1期间S 返回低电平,由于R=0,Q无法复位(图中标注为“1干扰”)。

在t7~t8段保持。

t6

当作控制信号,当S=1、R=0时,CP脉冲到达,触发器置1;如果再令S=0、R=1,第2

144 第三部分时序逻辑电路

个CP脉冲到达,触发器将再次翻转并置0;如果重令S=1、R=0,第3个CP脉冲到达时,触发器便第3次翻转并再次置为1状态……可见,触发器翻转的次数就是输入CP脉冲个数的度量,所以可以用触发器作计数器用。注意,S、R状态的改变不必人工进行,只要将S端接Q、R端接Q即可自动进行切换,请见图7.1.3-1(a)。

但遗憾的是,图7.1.3-1(a)电路是不适用的,因为Q=0时,下1个CP脉冲到达后经2个门的传输延迟(2t pd),Q变为高电平1,继而门G4封锁被解除,如果此时CP脉冲尚末结束,CP脉冲就会经门G4将触发器置0;如果触发器置0后CP脉冲仍末结束,Q的高电平使门G3封锁再次被解除,於是CP脉冲又经门G3将触发器置1……如此循环往复,导致1个宽CP脉冲引起触发器多次翻转,这种现象叫做“空翻”。为了克服“空翻”现象,主从结构的触发器应运而生。

2.主从RS触发器的电路结构

1个主从结构的RS触发器如图7.1.3-2(a)所示,由2个同步RS触发器级联而成,主触发器的输出直接加到从触发器的输入端,CP反相后作为从触发器的钟控脉冲。当CP脉冲由低电平变为高电平时,R、S被写入主触发器;由于从触发器的钟控端此时为低电平,从触发器保持原状态不变。在CP脉冲结束时,由高电平变为低电平,主触发器首先被封锁,

Q 返回

第7章触发器145 1.T'触发器

将主从RS触发器的R端接输出端Q,S端接Q,就构成了1个没有空翻的计数器电路,每来1个CP脉冲触发器都翻转1次,原来是0翻成1,原来是1翻成0,即

Q(7.1.4-1)

Q n+1=n

这种触发器叫做T'触发器。

2.JK触发器

如果将主从RS触发器接成T'触发器,然后再在原来的R、S处引出信号输入端J、K,如图7.1.4-1(a)所示,这种触发器就叫做JK触发器。不难看出,它与主从RS触发器的工作原理是一样的,只是在J=1、K=1时变成为T'触发器,其功能表如表7.1.4-1所示,从表中可得特性方程为

n+1n n

146 第三部分时序逻辑电路

4.D触发器

如果令J=D,K=D,如图7.1.4-3所示,其功能就是1个D触发器。将J=D、K=D代入式(7.1.4-2)

D(Delay

7.2 D

主从JK

但是图

[例

解:

参考图7.1.4-1。

①在t1时刻,第1个CP脉冲下降边到达,因J=0、K=0,触发器的次态应保持初始状态0不变;但是,由于CP=1期间,在t A时刻J中有1个正向干扰,并且由于当时门G的入端全部为高电平,所以主触发器被置成1状态,CP下降边到达后此状态即被送入从触发器中,因此,触发器实际上变成了1状态。

②在t2时刻,第2个CP脉冲到达,仍然J=0、K=0,按理,触发器的次态应保持第1个CP脉冲过后遗留下来的1状态不变;但是,由于在CP=1期间,在t B时刻K中有1个

第7章触发器147

正向干扰,并且此时门H的入端全部为高电平,所以主触发器被复位,第2个CP下降边到达后此状态即被送入从触发器中,因此实际上,触发器变为了0状态。

③在t3时刻,第3个CP脉冲到达,因J=0、K=0,触发器的次态应保持第2个CP脉冲到达后遗留下来的状态0不变;但由于在CP=1期间,在t C时刻J中有1个干扰,主触发器被置1

Q=0

态1时,则K

沿触发器。

7.2.1 维持阻塞D触发器

维持阻塞D触发器电路如图7.2.1-1(a)所示,其结构简单,构思巧妙,抗干扰性能好,典型芯片如74LS74(T4074)等。工作原理可概括为以下3句话:

148 第三部分 时序逻辑电路

令D R =D S =1,即图中3条虚线所示的直接置位、直接复位电路暂且不看。 (1)CP =0时,Q 维持原状态不变。 CP =0时,门G 3、G 4被封锁,Q 3=Q 4=1,所以门G 1、G 2组成的基本RS 触发器保持原状态;同时门G 5、G 6被打开,输入信号D 经门G 6取反后到达门G 4的输入端,再经门G 5取反后到达门G 3的输入端,等待送入。

置 持线;

③向下送门G 4输入端,封锁下方干扰通路,故称为置1阻塞线。 (3)CP =1时,若D =0,则Q n+1=D =0,并立即封锁整个通道入口。

CP 由0变为1时,门G 3、G 4解除封锁,若D =0,则G 4输出Q 4=0,此信号有2个流

第7章触发器149

向:

①向右送G2输入端,使Q=1,进而使Q=0。——D=0,触发器置0;

②向左返回G6输入端,关闭整个信道,同时,由于G4和G6组成锁存器,维持触发器置0时Q4=0状态,故称此反馈线为置0维持线,同时也是置0阻塞线。

可见,图7.2.1-1(a)是1个正边沿触发的维持阻塞D触发器,其电路符号如图7.2.1-1(b)所示,图中CP输入端符号“>”表示边沿触发,无小圈“ ”表示是正边沿触发。

使用时要注意,输入信号必须提前2t pd到达D输入端,以便在CP的上升边到来之前传输到G3、G4门口;同时,在CP到来之后要继续保持一段时间,以使触发器翻转正确;前者叫做建立时间,用t set表示,后者叫做保持时间,用t h表示。如果每个单门的传输延迟时间相同,均为t pd,则t set≈2t pd(即G6、G5的传输延迟时间),t h≈1t pd(即CP到达后信号从G4输入端到G4输出并返回G6输入端完成维持阻塞所需的时间),所以,CP脉冲的最高重复频率f max<1/(3t pd)。

[例7.2.1-1] 图7.2.1-2(a)所示维持阻塞D触发器,其CP脉冲和输入波形如图7.2.1-2(b)所示,请画出触发器的输出波形Q和Q。

解:

在t1时刻,D=1,触发器置1;在t2时刻,D=0,触发器置0;在t3时刻,D和CP脉冲同时到达,因建立时间不够,Q n+1仍为0;同理,在t4时刻,触发器置1;在t5时刻,触

n+1

150 第三部分时序逻辑电路

1.D锁存器

前面曾经讲过,如果把2个非门串联起来,并把输出信号引回到输入端且迅速移去输入信号(见图7.1.1-1),则电路将永久锁定并保存原来的输入状态,CMOS D锁存器正是根据这一思路而构成的,如图7.2.2-1所示。

第7章触发器151

图7.2.2-2 有异步置位复位功能的主从D触发器(CC4013)

(a)电路(b)电路符号

出Q;第二,从触发器中TG3和TG4的控制信号极性已经对调,前者接CP,后者接CP;第三,从触发器中的R、S端已经对调。作了这些变动之后,电路的工作过程如下:CP=0时,TG1、TG4开启,TG2、TG3关断,主触发器处于接数状态,从触发器锁存,电路保持原状态。

CP=1时,即上升边到来时,TG1、TG4关断,TG2、TG3开启,主触发器锁存CP上升边到来时刻的输入D状态,从触发器接数,数据D直接送到输出端,Q n+1=D。

显然,这是1个正边沿触发的主从D触发器,电路符号如图7.2.2-2(b)所示,其中S、R是直接置位和直接复位端。

152 第三部分时序逻辑电路

如图7.3.1-1(b)所示。从图(a)中可以看出,阴影区内是1个主从D触发器,故有

n+1

所以

+1

n Q

n

n

=

Q+

=

Q

D

K

J

显然,这是1个JK触发器,功能表给出在图7.3.1-1(c)中。

[例7.3.1-1]请用图7.2.2-2的主从D触发器CC4013接成具有JK功能的触发器。

解:

第7章 触发器 153

一个D 触发器只有1个数据输入端D ,而1个JK 触发器则需有2个数据输入端J 和K ,那么,在J 、K 与D 之间需要加一些什么样的电路,如图7.3.1-2(a)所示,才能使D 触

为使门电路数目更少些,可以将式(7.3.1-3)用卡诺图表示,并用包围0的办法求得D

的表达式,为

n

n n

n KQ Q J KQ Q J D ++=+= (7.3.1-5)

逻辑图即如图7.3.1-2(c)所示。

注意,图7.3.1-2(c)所示的电路就是图7.3.1-1(a)的电路。图中阴影区内部分就是原来的主从D 触发器,输入端J 、K 后面的2个或非门和1个与门就是D 触发器转换成JK 触发器的接口电路。

在CMOS 集成JK 触发器中,大多都用图7.3.1-2(c)所示的电路结构,如CC4027(双JK 触发器,置数,清0)、CC4095、CC4096(单JK 触发器,3个J 输入、3个K 输入,

154 第三部分时序逻辑电路

置数,清0)以及HC73、HC107(双JK,清0)、HC113(双JK,置数,反码输出)等。

制作4人简易抢答器教案

制作4人简易抢答器教案

新课导入: 一、电路组成 智力竞赛抢答器是一种在智力游戏或竞赛流行时的电子设备,参加竞赛的多人中,只要有一人首先按下抢答器,则其后按下的人为无效,直到主持人按下复位后下一轮竞赛才开始。本任务所制作的四人抢答器可供四位参赛者使用,电路组成如图6-8所示。图中IC1为四--三态RS锁存器CD4043,IC2为双四输入或非门CD4002,它们组成四路按键输入与互锁电路。CD4043中的四个置1端S与四个抢答输入按键SB1~SB4相连,四个输出端Q通过CD4002与抢答输入按键的另一端相连。四个复位端R并联后与总复位按键SB5相连,供主持人作总复位用。 二、四--三态RS锁存器CD4043 CD4043的引脚排列如图6-9所示,其内部包含4个基本RS触发器,它采用三态单端输出,由芯片的5脚EN信号控制。CD4043的功能表见表6-7。.新课导入 .讲授新课

图6-9 CD4043的引脚图 表6-7 CD4043的功能表 EN S R Q 0 × × 高阻 1 0 0 Q n(原态) 1 0 1 0 1 1 0 1 1 1 1 × 由表6-7可见,三态RS锁存器是在普通RS触发器的基础上加上控制端EN,其输出端除了出现高电平和低电平外,还可以出现第三种状态——高阻状态。控制端EN(或称为使能端)为高电平有效:当EN=1时为工作状态,实现正常的逻辑功能;当EN=0时输出端呈现高阻状态。 三、电路的工作原理 接通电源后,主持人先按下总复位键SB5,9V工作电压通过SB5加至四个复位端R,使四个触发器均复位,Q端输出低电平,Q端的低电平加至或非门IC2的输入端,反相后变为高电平,使四个抢答输入按键的一端为高电平,而四个RS触发器的置1端S通过下控电阻R1~R4将其置于低电平,整个电路处于等待状态。 当有某一参赛队员,例如,1号队员按下SB1时,高电平能过SB1加至IC1的1S端,1号触发器被置位,1Q输出高电平。一方面通过IC2反相为低电平后使四个抢答按键的一端由高电平变为低电平,使其后按下的按键不能再使它对应的触发器翻转,起到了互锁作用。 SB5为总复位按键,每次抢答过后由主持人按下它,使电路复位后进行下一轮的抢答。结合课件讲授

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

4人抢答器

电子技术应用实验 实验报告 学生姓名:学号:报告评分: 实验地点:试验时间:指导老师: 一、实验项目名称 4人智力竞赛抢答器 二、实验内容 设计并使用multisim实现一个4人智力竞赛用抢答器电路 具体要求为: 每个参赛者控制一个按钮,用按动按钮发出抢答信号; 竞赛主持人另有一个按钮,用于将电路复位; 抢答器具有锁存功能,即竞赛开始后,先按动按钮者将对应的一个LED灯点亮,此后三人再按动按钮对电路不起作用,直到主持人将电路复位为止。 在上述功能完成后,可增加以下功能: (1)、用LED数码管显示抢答成功选手的编号。 (2)、加入倒计时功能。开始抢答时,自动启动60秒倒计时,时间到后数码管显示00并持续报警,直到主持人将电路复位。 实验内容及要求:设计满足要求的电路,并在multisim中进行电路连接、仿真和调试。在实验报告中简要地说明实验原理,画出实验电路图,在实验报告相应位置附上实验中的仿真结果和波形。 三、方案论证 电路主要由脉冲产生电路,锁存电路,编码及译码显示电路构成。 整个系统由555脉冲电路提供脉冲,当有选手抢答时首先锁存,防止其他选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上指示选手编号。 四、电路原理简介 将四个抢答开关分别接至74LS175触发器的四个输入端,555定时器组成的多谐振荡器的OUT端和Q非输出的经过两次与非之后的信号进行与非后接至74LS175的CLK端。当发出抢答信号后,触发器对应的输出端Q输出高电平,此时对应选手的二极管点亮。同时将输出Q非接至74LS21的四个输入端口,由于Q非发出低脉冲信号,从而封锁了抢答电路。主持人开关则与74LS175的清零端相连,选手抢答完毕后主持人可进行清零。将74LS175的八位输出

4人抢答器设计

抢答器设计电路

目录 1设计介绍 (3) 1.1 设计任务 (3) 1.2 设计要求 (3) 2系统方案的选择及系统方框图 (3) 2.1 系统方案的选择 (3) 2.2 系统方框图 (4) 3电路具体设计 (5) 3.1 555定时器构成的多谐振荡器 (5) 3.2 D触发器抢答部分电路 (6) 3.3 抢答组别显示功能 (6) 3.4 总体设计电路 (7) 4 系统单元电路测试 (7) 4.1 测试555定时器电路 (7) 4.2 D触发器抢答部分电路 (8) 4.3 抢答组别显示功能 (9) 4.4 其他单元电路的测试 (10) 5设计体会 (13) 附录 1 元器件清单 (14)

1设计介绍 1.1 设计任务 设计制作一个可容纳四组参赛的数字式抢答器。 1.2 设计要求 ①提供四个抢答按钮,供抢答者使用; ②电路具有第一抢答信号的鉴别功能,即在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; ③电路具有锁存功能,当第一个抢答信号发出后,其它抢答按钮开关无法再发出抢答信号; ④电路具有抢答问题的时间控制功能,即要求抢答操作的时间<10s (显示0-9),时间显示采用倒计时方式,在规定时间内若有人抢答,则停止计时。 2系统方案的选择及系统方框图 2.1 系统方案的选择 方案一、采用74LS175构成四路抢答器,555定时器接成多谐振荡电路,提供脉冲信号,74LS148与 74LS48译码器接到七段显示数码管显示出选手编号,74LS192设计成倒计时与计分部分电路。 方案二、用组合逻辑器件CD4511 构成四路抢答器。CD4511 实现优先抢答的锁存、编号进直接把锁存器的输出转化8421BCD码,数码管显示先抢答者的编号,同时四路抢答器发出响声;主持人通过“复

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

数电四人抢答器

电子技术课程设计课题:数电四人抢答器 院系:电气与电子工程学院 专业:电气信息类 班级:电气1201班 姓名:周***** 学号:12******** 武汉轻工大学 2014年6月15日

目录 绪论 (2) 一、设计任务和要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 二、方案设计与论证 (3) 2.1 抢答器的基本工作原理 (3) 2.2 系统框图 (3) 2.3 方案比较 (4) 三、单元电路设计 (4) 3.1 抢答器控制电路 (4) 3.2 编码器电路的设计 (5) 3.3 定时电路 (6) 3.4 复位电 (7) 3.5 报警电路 (8) 3.6 时序控制电路 (9) 3.7 译码器电路和数码管显示电路的设计 (10) 四、总电路工作原理及元器件清单 (10) 4.1 总电路原理图 (10) 4.2 元件清单 (12) 五、硬件电路的调试 (13) 5.1 仿真软件简介 (13) 5.2仿真结果 (14) 六、设计过程中的问题和解决办法 (14) 七、设计成品的优点与不足 (14) 八、结论与心得 (15)

绪论 基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。 一、设计任务和要求 1.1设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 1.2设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时

设计一个4人抢答器

设计一个4人抢答器,的过程如下, 一真值表,五个输入,一个为主持人, 二,用与非门,再用锁存器, 三,用74LS47译码器, 最大的问题是,如何锁存和清0 锁存,是,用锁存器的输出的反,接入一个与非门,再与主持人的输入一起接入一个与非门,当然主持人接下时,为低电平, 清0,同时呢,将主持人的输入再接入,IB基本就是这个过程的了 1、基本功能: (1)计一个智力竞赛抢答器,可同时供4名选手参加比赛,他们的编号分别是1、2、3、4,各用一个抢答按钮 (2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 2、扩展功能 (1)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定10s。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 (2)参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 (3)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示0。 1、设计目的 抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。 2、设计要求 (1)、抢答器分为4组,每组序号分别为1、2、3、4、按键SB0-SB3分别对应4组,抢答者按动本组按键,组号立即在LED显示器上显示,同时封锁其他组的按键信号。 (2)、系统外设清除键,按动清除键,LED显示器自动清零灭灯。 (3)、数字抢答器定时为10s,通过控制键启动抢答器后,要求30s定时器开始工作,发光二级管点亮。 (4)、抢答者在10s内进行抢答,则抢答有效,如果10s定时到时,无抢答者,则本次抢答无效,系统短暂报警。 (5)、抢答者违规显示。 3、设计原理

四人抢答器 课程设计

目录 第一章绪论 1.1 课题研究背景 (2) 1.2 课题方案讨论 (2) 1.3 课题研究内容 (6) 第二章抢答器的系统概述 2.1 抢答器的主要功能简介 (6) 2.1 抢答器的工作原理简介 (6) 2.3 抢答器的系统需求分析 (7) 2.4 抢答器的工作流程 (7) 第三章选定设计方案的单元电路相关分析 3.1 74LS175集成芯片的电路分析 (9) 3.2 74LS20集成芯片的电路分析 (11) 3.3 74LS00集成芯片的电路分析 (12) 3.4 555集成芯片的电路分析 (13) 3.5 元件清单 (14) 第四章抢答器的电路设计图 4.1 工作原理图 (17) 4.2 面包板接线图 (17) 4.3 电路成品图书馆 (17) 第五章心得体会 (18)

一、绪论 1.课题研究背景 随着我国经济和文化事业的发展,在这个竞争激烈的社会中,知识竞赛、评选优胜,选拔人才之类的活动愈加频繁。在很多竞争场要求有快速公正的竞争裁决,例如:证劵、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。但是、在竞赛中往往是多个选手一起,分为几个小组参加比赛,针对主持人提出的问题各竞赛小组进行抢答,而抢答环节就要有一种逻辑电路抢答器作为裁判员功能、实现其比赛公平、公正的规则。 智能抢答器是一种应用十分广泛的设备,在各种竞赛、抢答场合中,它都能客观、迅速地判别出最先获得发言权的选手。早期的抢答器只是由几个三极管、可控硅、发光管等器件组成的,能通过发光管的指示辩认出选手号码。现在大多数智能抢答器都由单片机或数字集成电路构成的,并且新增了许多功能,如选手号码显示,抢按前或抢按后的计时,选手得分显示等功能。 随着科技的发展,现在的抢答器向着数字化、智能化的方向发展,这就必然提高了智能抢答器的制造成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器肯定很有市场。 .课题方案讨论 四路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及报警电路。根据本次设计要求,我们先后设计出七种方案。 方案一:继电器抢答器。继电器实现四人抢答器设计,其电路要求相关方面知识过于简单、方案简而易行,方案电路中存在许多不足,而且成本相对于TTL与COMS相比成本较高,总体体积较大。 方案二:模电抢答器。模电实现四人抢答器设计,成本过高,控制起来与精确度不高,电路存在操作上的弊端。(如图1-1 所示)

制作4人简易抢答器教案

制作4人简易抢答器教案 教师班级2015电子班科目应用电子技术开课时间地点(1)(3) 项目名称简易抢答器教案课时数 2 背景分析简易抢答器教案是电子专业学生必须掌握的一门基本的专业知识。其任务是使学生掌握从事电子分析工作的人才必备的专业基本知识、基本理论和基本技能,为学习专业课程和从事专业工作打下坚实的基础,并为培养学生的工程意识、创新能力和全面素质奠定基础。 20XX级电子班已具有电路的基础知识,通过近一学期,他们能够理解在简单电路的分析,同时学习了其他相应的基础知识。因此在教师的指导下可以制作简易抢答器。 学习目标的设定 1,握D触发器的正确使用 2,简易抢答器电路组成和工作原理 学习任务的描述 简易抢答器电路是我们生活中最常见的一种基本电路,集成触发器产品通常为D 触发器和JK 触发器。在选用集成触发器时,不仅要知道它的逻辑功能,还必须知道它的触发方式,只有这样,才能正确的使用好触发器。。 本项目教学在本节课主要是通过实训操作生活中的应用达到进一步学习的目标 教学情景创设在一体化教学情景中进行。在生活中感受信息化的应用,以真实的生活唤起学习信息化知识的热情。 教学资源的准备时间资源:课前课后的设计安排 信息资源:应用电子技术,学习任务书,实验报告 人员资源:一位专业课老师,一位实验教师,学习小组和组长 设备资源:多媒体 环境资源:实训室,多媒体室 教学过程实施 内容方法

新课导入: 一、电路组成 智力竞赛抢答器是一种在智力游戏或竞赛流行时的电子设备,参加竞赛的多人中,只要有一人首先按下抢答器,则其后按下的人为无效,直到主持人按下复位后下一轮竞赛才开始。本任务所制作的四人抢答器可供四位参赛者使用,电路组成如图6-8所示。图中IC1为四--三态RS锁存器CD4043,IC2为双四输入或非门CD4002,它们组成四路按键输入与互锁电路。CD4043中的四个置1端S与四个抢答输入按键SB1~SB4相连,四个输出端Q通过CD4002与抢答输入按键的另一端相连。四个复位端R并联后与总复位按键SB5相连,供主持人作总复位用。 二、四--三态RS锁存器CD4043 CD4043的引脚排列如图6-9所示,其内部包含4个基本RS触发器,它采用三态单端输出,由芯片的5脚EN信号控制。CD4043的功能表见表6-7。.新课导入 .讲授新课

四人抢答器课程设计 -

四人抢答器电子课程设计说明书 学生姓名: 学号: 学 专 指导教师: 2013年 12月 贾树阳 11050443X14 中北大学信息商务学院

目录 1引言 (1) 2 记内容、技术条件和要求 (1) 2.1四人抢答器功能 (1) 2.2四人抢答器技术要求 (1) 3设计方案及思路 (1) 3.1设计回路抢答器 (3) 3.2 多谐振荡器工作原理 (4) 3.2.1 振荡周期 (6) 3.2.2 74LS175集成芯片的电路分析 (8) 3.2.3 74LS20集成芯片的电路分析 (9) 3.2.4 555集成芯片的电路分析 (10) 4 抢答器的电路设计图 (11) 5心得体会 (13) 参考文献 (14)

一、引言: 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,抢答器在现实中有着广泛的应用,在各种比赛中我们总是能够找到他的身影,比如:智力竞赛、各种娱乐节目中的活动计时等等都有着他的身影,本次设计基于课程任务它要最终能够实现:(1) 四人进行抢答;(2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3) 能显示超前抢答台号并显示犯规警报;(4) 能显示各路得分,并具有加、减分功能;(5)系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。基于上面要实现的功能本次设计软件部分采用VHDL语言进行相关功能的实现,硬件部分主要有数码管,锁存器、报警器等相关器件进行实现,使用QuartusII对编写的VHDL语言进行功能的调试和功能的仿真,最终在FPGA硬件上进行功能的验证和完善。内容提要:用74LS175N实现电路的四人抢答功能,用555定时器来控制计时,从而实现当无人抢答时的报警功能,在计时方面则有74LS192N及74LS247来实现数码管的倒计时。其中,74LS192N的预置数从高到低依次接1001(对应的十进制数为9),其DWN端接高电平实现减技数。要注意的是74LS247N和74LS192N 以及数码管是共阳极的 二、计内容、技术条件和要求: 2.l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2.2、四人抢答器技术要求 系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 三、设计方案及思路

4人抢答器课程设计报告

课题二数字式抢答器 一.数字式抢答器功能概述 在举办各种智力竞赛活动中,常常需要确定谁是第一个抢答的人。数字式抢答器利用电子器件可以准确的解决这一问题。数字式抢答器允许抢答者在规定的时间范围内进行抢答,可以用数字显示抢先者的序号,并配有相应的灯光指示和声报警功能;对犯规抢答者(指在抢答开始命令下达前抢答者),除用声、光报警外,还应显示出犯规者的序号;若规定抢答时间已过,要告示任何输入的抢答信号均无效,除非重新下达抢答命令。 二.任务和要求 设计一个数字式抢答器,具体要求如下: 1.要求至少控制四人抢答,允许抢答时间为10秒,输入抢答信号是在“抢答开始”命令后的规定时间内,显示抢先抢答者的序号,绿灯亮。 2.在“抢答开始”命令前抢答者,显示违规抢答者的序号;红灯亮。 3.在“抢答开始”命令发出后,超过规定的时间无人抢答,显示无用字符(可自行确定)。 4.选做:不仅能显示抢答者的序号并且能显示抢答次序。 三.原理电路和程序设计 1.总体设计电路 如下图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关

2.单元电路设计 (1)抢答器电路 参考电路如下图所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于"清除"端时,当有选手将键按下时74L148的四个输出相与控制74L75的使能端,使其锁存并且让它和开关相与去控制红灯亮,保证报警电路通。当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S3),74LS148的输出经与门给75锁存后,1Q=1,74LS48处于工作状态,Q4Q3Q2=011,经译码显示为"3"。此外,75的使能端通路,处于 工作状态,封锁其他按键的输入。,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置清除"然后再进行下一轮抢答。74LS148为8线-3线优 先编码器. (2)定时电路 由节目主持人设定一次抢答的时间10s,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由1秒脉冲电路提供。可预置时间的电路选用74L161进行设计,输出接非门使其倒计时,具体电路如下图所示。

基于Multisim的四人抢答器设计与仿真

设计报告 题目: 基于Multisim的四人抢答器设计与仿真 课程:通信终端设计项目实训 专业:电子信息工程技术 班级: 1401011 学号: 140101102 学生姓名:李鸿磊 2016年 03月14日

1任务目标 1)抢答器最多可供4名选手参赛,编号为1-4号,各队对应用一个按钮S1-S4中一个控制,并设置一个清零和抢答控制开关S5,该开关由主持人控制。 2)抢答器具有锁存功能,直到主持人,清零。 3)开关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清零,当开关S松开后这允许抢答,输入抢答信号由抢答按钮开关S1-S4实现。4)有抢答信号输入,即开关S1-S4中的任意一个开关被按下时,对应的LED灯变亮,此时再按其它任何一个开关被按下均无效,指示灯依旧“保持”第一个开关按下时所对应的状态不变。 5)记忆功能。电路能够保存第一个按下开关者的信号,让其对应的信号灯自动保持长亮,从而答题者不用长按开关。并且该信号还要对屏蔽电路起作用。 6)屏蔽功能。当第一个灯亮起之后,电路能够使用记忆的信号,屏蔽掉其他后继答题者的开关信号,使其他的灯不会再亮. 7)恢复功能.主持人开关可以使电路恢复到初始状态。 2 总体设计思路 上升沿触发D触发器的功能 按照抢答的规则,首先.电路能对第一个按下抢答开关的答题者迅速做出反应,对应的灯要亮,并且灯亮起之后,该答题者不必长按 开关,电路可以自行工作,保持灯亮。其次,当有一盏抢答灯亮起之

后,其他答题者后继的开关按下信号不会使其它的信号灯亮。最后,当本次答题结束后,主持人的开关可以关闭亮起来的灯,使电路恢复初始状态,等待下一次的抢答。 2.1输入部分 抢答按钮按下时,输入高电平有效。 2.2触发器

电子实习(四人抢答器)报告(附各种四人抢答器线路图,实物图)

电子(四人抢答器)实习报告 班级:姓名:学号: 实习时间:2月22日——3月9日 一、实习目的 学会认识辨别各电子元件及其功能配置,掌握protel应用技术,学会自己设计和思考,熟练焊接技术,最终做出能够正常工作的项目,增强我们的实践经验。 二、实习内容 1、听取与本次实习相关理论讲座; 2、理解四人抢答器原理,设计四人抢答器电路; 3、学会使用protel软件,并作出四人抢答器的原理图和相应的PCB图; 4、学习制版,并熟练掌握焊接技术; 5、分析电路,焊接制作四人抢答器并调试,最终得到成品。 三、实习设计 四人抢答器的功能是供四人进行抢答,四人分别各用四个抢答器,S1、S2、S3、S4,还有一个是复位开关S5供主持人进行抢答器的复位。该抢答器可以通过发光二极管及蜂鸣器来显示四人的抢答情况,一次抢答只会显示一个发光二极管,也就是只有一人能够抢到答题的机会,禁止其他选手抢答,且只要抢到,蜂鸣器会一直响,除非复位。 四人抢答器设计原理图如下: 原理图生成的PCB图(双面板)如下:

原理图生成的PCB图(单面板)如下: 四、问题以及解决 1、关于protel 99: 1)原理图中元件封装问题:从原理图生成PCB图,必经过对原理图各电子元件的封装,将各元件在PCB中适合元件与原理图中各元件的footprint一一对应; 2)对应的焊盘的designator与原理图中的最好相同,否则会导致无法识别而产生error。 2、关于焊接: 1)有些元器件之间的导线很短,并且有的焊点要连接两条甚至三条导线,集成块的各引脚靠的很近,焊不好极易造成短路,这就需要一步步静下心来,细心加 耐心; 2)在焊接过程中尽量不要用手直接接触电路板背面个焊点,防止造成虚焊; 3)在实习中得注意材料的节省,在焊接过程中合理使用工具,以防烫伤及各种其他伤害。 五、结果与调试 实物图:

数电四路抢答器课程设计报告

课题题目:四路智能抢答器 一、课程设计目的 本次课程设计主要是配合《模拟电子技术》和《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。 初始条件: 二、 二、初始条件 本课程设计,要求用集成电路:74LSl48,74LS192,74LS175,74LS160,74LS00,74LS04,74LS20,74LS48,74LS32,555定时器和其它器件等,实现四路定时抢答功能。 三、要求完成的主要任务: 1、可同时供4名选手(或代表队)参赛,其编号分别是1到4,各用一个抢答按钮,按钮的编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 2、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 3、抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当主持人启动“开始”键后,要求定时器立即进行加计时,并用显示器显示。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作, 显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0。

目录 1功能介绍 (3) 1.1主要功能介绍 (3) 1.2扩展功能介绍 (3) 2总体方案设计 (3) 3单元模块设计 (4) 3.1抢答器控制端电路功能介绍 (4) 3.2定时时间电路 (5) 3.3控制电路和报警电路 (5) 3.4振荡电路 (6) 4主要芯片介绍 (7) 4.1优先编码器74LS148 (7) 4.2计数器74LS192 (8) 5四人抢答器仿真 (9) 6系统调试 (9) 7参考文献 (11)

数电课程设计——四人抢答器..

课程设计说明书 课程名称:数字电子技术、模拟电子技术 设计题目:四人抢答器 院系:电子信息与电气工程学院 学生姓名:曹光宇 学号:201002020021 专业班级:电子信息工程2010级1班 指导教师:胡万里 2012年5月25日

课程设计任务书设计题目四人抢答器 学生姓名曹光宇所在院系电子信息与电 气工程学院 专业、年级、班 电子信息工程 2010级1班 设计要求: 1、设计制作一个可容纳四个组参赛的抢答器,每组一个抢答开关; 2、设置一个抢答开始按键,同时设置抢答定时电路,且计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废; 3、系统具有第一抢答信号鉴别和锁存功能,主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,即其他任何一组的抢答信号都不会使电路响应; 4、系统采用声光指示第一抢答者:用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者。 学生应完成的工作: 设计一个四人抢答器的电路,并利用Multisim软件进行电路仿真。利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡Protel DX P电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月14号—16号完成原理图的设计;5月17号—28号进行PCB设计;5月21号—23号制作PCB 板;5月24号—25号电路板安装与调试,提交课程设计报告。 任务下达日期: 2012 年 5 月 14 日 任务完成日期: 2012 年 5 月 25 日 指导教师(签名):学生(签名):

四人抢答器课程设计报告

四人智力竞赛抢答器 一、设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、四人智力竞赛抢答器电路原理及设计 1、设计方案 抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒,报警响声持续1秒。接通电源后,主持人将开关拨到

智能四路抢答器(完)

目录 第一节课程设计目的 (3) 1.1概述 (3) 1.2设计要求 (3) 第二节四路抢答器设计正文 (3) 2.1总体设计 (3) 2.1.1抢答器的工作原理 (3) 2.1.2抢答器的总体设计 (4) 2.2硬件电路详细设计 (4) 2.2.180c51芯片 (4) 2.2.2芯片的选择 (6) 2.2.3复位电路的设计 (6) 2.2.4晶振电路的设计 (7) 2.2.5数码显示电路的设计 (8) 2.2.6报警电路的设计 (8) 2.2.7总电路的设计 (9) 2.2.8 PCB版图的绘制 (10) 2.3软件详细设计 (11) 2.3.1主程序的设计 (11) 2.3.2显示子程序的设计 (11) 2.3.3定时器T0、T1中断服务程序的设计 (11) 2.3.4抢答处理程序的设计 (11) 2.3.5总程序 (12) 第三节实验结果及结论 (18) 第四节参考文献 (19)

第一节课程设计目的 1.1概述 单片机原理及应用课程设计是学生综合运用所学知识,全面掌握单片微型计算机及其接口的工作原理、编程和使用方法的重要实践环节。通过独立或协作提出并论证设计方案,进行软、硬件调试,最后获得正确的运行结果,可以加深和巩固对理论教学和实验教学内容的掌握,进一步建立计算机应用系统整体概念,初步掌握单片机软、硬件开发方法,为以后进行实际单片机软、硬件应用开发奠定良好的基础。 课程设计的主要内容:根据单片机原理及应用课程的要求,主要进行两个方面的设计,即单片机最小系统和接口技术应用设计。其中,单片机最小系统主要要求学生熟悉单片机的内部结构和引脚功能、引脚的使用、复位电路、时钟电路、4个并行接口和一个串行接口的实际应用,从而可构成最小应用系统,并编程进行简单使用。 接口技术应用设计主要要求学生能综合运用单片机、存储器、常用接口芯片构成单片机应用系统,有针对性地进行软、硬件设计与开发。 1.2 设计要求: 1、设置4个抢答台和四个抢答成功指示灯,1个比赛主持人开始按键和1个抢答指示灯及1个LED显示器。 2、采用中断和查询结合的方法确定按键动作。 3、主持人按下“开始”键后,若有人抢答,则对应选手的指示灯点亮,并用7段LED 显示抢答者的号码(1-4),同时蜂鸣器发出3声间隔一秒的警告音;若9秒内无人抢答,则发出超时报警声,此题作废,主持人可按下“开始”键开始下一题的抢答。 第二节四路抢答器设计正文 2.1总体设计 2.1.1抢答器的工作原理 抢答器的工作原理是利用单片机的定时器T0、T1中断完成,其余状态循环调用显示子程序,用2个共阴极LED数码管来显示,用P2.0、P2.1、P2.2、P2.3口作为CD4511译码器的数据输入口,P1.0、P1.1、P1.2、P1.3口接4个选手按键,提供选手抢答,P1.4、P1.5、P1.6、P1.7接四个发光二极管作为抢答成功指示灯;P0.0接蜂鸣器,超时报警,和提示抢答。 2.1.2抢答器的总体设计 倒计时方案方面利用MCS-51的内部的定时/计时器进行中断计时,配合软件延时实现倒计时。该方案节省硬件成本,并且能够在定时器/计数器的使用、中断及程序设计方案方面得到锻炼与提高,显示方面采用穿行传输实现动态显示,该方案的硬件连接简单,但动态扫描的显示方式需占用CPU较多的时间,适用于单片机没有太多实时测控的任务场合。

四人智力抢答器课程设计报告

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:74LS175、脉冲、锁存器

目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 2.1 方案比较 (1) 2.2 系统框图 (3) 3单元电路设计、参数计算和器件选择 (3) 3.1抢答电路设 (3) 3.2 定时电路设计 (6) 3.3报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 4.1完整电路图 (10) 4.2 工作原理 (11) 5经验体会 (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四人抢答器课程设计报告

四人智力竞赛抢答器 一、设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1、设计任务 1)设计一个4路(1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。 (2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 (1)扩展为10路(1~10)智力竞赛抢答器。 (2)设计抢答最长时间(30秒)限制和倒计时显示。 4、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)

和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时蜂鸣器发出响声提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 三、设计方案选取与论证 图1系统框图 1、系统框图 当主持人宣布开始,数码管给出提示,当某选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1. 2、设计方案 抢答器的总体框图如上图所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 抢答器具有锁存、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED 数码管把选手的编码显示出来,接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 3、方案比较 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽 然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用D 触发器、四输入与非门、或门和非门来完成抢答部分。编码 器、 译码器用于显示部分。 虽然使用元件比较较多,但在实现锁存功能时可以简单的实现。

相关主题
相关文档 最新文档