当前位置:文档之家› 数字电路课程设计报告——三层电梯

数字电路课程设计报告——三层电梯

数字电路课程设计报告——三层电梯
数字电路课程设计报告——三层电梯

数字电路课程设计报告

——三层电梯控制器

姓名:李世刚

班级:计122—3

学号:201258502314

指导老师:王玲玲

2012年12月23日

目录

一、设计内容 (1)

1、简要说明 (2)

2、任务和要求 (2)

二、设计方案及总体功能 (2)

三、各部分具体设计 (4)

四、我的设想 (12)

五、总结 (12)

一、设计内容

本次设计的是三层电梯控制器:

1、简要说明:

电梯控制器是控制电梯按顾客的要求自动上下的装置。

2、任务和要求:

(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停战请求开关。

(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降或等待)指示装置。

(3)电梯每秒升(降)一层楼。

(4)电梯到达有停站请求的楼层后,经一秒电梯楼门打开,开门指示灯亮,开门四秒后,电梯门关闭(开门指示灯灭),电梯继

续运行,直至执行完最后一个请求信号后停在当前层。

(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除

(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上

楼请求执行完毕;如果高层有下楼请求,则相反。

(7)电梯初始状态为一层开门状态。

二、设计方案及总体功能

本设计的总体框图如图1-1所示:

图1-1 总体框图

本控制器的功能模块主要包括控制器、状态显示器,译码器,楼层显示器等。乘客在电梯中选择所要到达的楼层,通过控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过

译码器从而在楼层显示器中显示。

电梯控制器原理图

三、各部分具体设计

1、模块arc见图1-2。该模块是整个控制器的核心。其主要的的设计思想是将电梯的状态划分为四个:一层状态(c1),二层状态(c2),三层状态(c3)及开门状态(kai)。对于每一个状态分析其所有的可能。

图1-3

本模块的程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity arc is

port( u1,u2,d2,d3,k1,k2,k3,clk:in std_logic;

site:out std_logic_vector(3 downto 1);

a1,a2,a3,mode,door,ur1,ur2,dr2,dr3:out std_logic

);

end arc;

architecture behave of arc is

type state_type is(c1,c2,c3,kai);

begin

process(clk)

variable u,d,s,f:std_logic_vector(3 downto 1);

variable m,a,b,n:std_logic;

variable cnt1,cnt2:integer;

variable state :state_type;

begin

if clk'event and clk='1' then

if u1='0' then

u(1):='1';

ur1<='1';

end if;

if u2='0' then

u(2):='1';

ur2<='1';

end if;

if d2='0' then

d(2):='1';

dr2<='1';

end if;

if d3='0' then

d(3):='1';

dr3<='1';

end if;

if k1='0' then

s(1):='1';

end if;

if k2='0' then

s(2):='1';

end if;

if k3='0' then

s(3):='1';

end if;

if cnt1<1000 then

cnt1:=cnt1+1;

else

case state is

when c1 =>f:="001";

m:='0';

if u/="000" or d/="000" or s/="000" then

a:='1';

else

a:='0';

end if;

if n='0' then

door<='1';

else

door<='0';

if s(1)='1' or u(1)='1' then

state:=kai;

s(1):='0';

u(1):='0';

elsif u(2)='1' or s(2)='1' then

state:=c2;

elsif d(3)='1'or s(3)='1' then

state:=c2;

b:='1';

elsif d(2)='1' then

state:=c2;

m:='1';

end if;

end if;

when c2=>f:="010";

if m='0' then

if s(2)='1' or u(2)='1' then

state:=kai;

s(2):='0';

u(2):='0';

elsif d(3)='1'or s(3)='1' or b='1' then

state:=c3;

b:='0';

elsif d(2)='1' or u(1)='1' or s(1)='1' then

m:='1';

end if;

end if;

if m='1' then

if d(2)='1' or s(2)='1' then

state:=kai;

d(2):='0';

s(2):='0';

elsif u(1)='1' or s(1)='1' or n='1' then

state:=c1;

n:='0';

elsif u(2)='1' or d(3)='1' or s(3)='1' then

m:='0';

end if;

end if;

when c3=>m:='1';

f:="100";

if s(3)='1' or d(3)='1' then

state:=kai;

s(3):='0';

d(3):='0';

elsif d(2)='1' or s(2)='1' then

state:=c2;

elsif u(1)='1' or s(1)='1' then

state:=c2;

n:='1';

elsif u(2)='1' then

state:=c2;

m:='0';

end if;

when kai=>door<='1';

if cnt2<3 then

cnt2:=cnt2+1;

else

door<='0';

cnt2:=0;

if f="001" then

state:=c1;

elsif f="010" then

state:=c2;

elsif f="100" then

state:=c3;

end if;

end if;

end case;

cnt1:=0;

end if;

end if;

site<=f;

a1<=s(1);

a2<=s(2);

a3<=s(3);

mode<=m;

end process;

end behave;

2、计数器模块cnbt,在时钟的驱动下,实现了对数码管的动态扫描及数据的选择。

对其进行功能仿真,得到波形图如下:

源程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cntb is

port

(

clk:in std_logic;

q:buffer std_logic_vector(2 downto 0)

);

end;

architecture bbc of cntb is

signal q1:std_logic_vector(2 downto 0);

begin

process(clk)

begin

if clk'event and clk='1' then

q1<=q1+1;

end if;

end process;

q<=q1;

end bbc;

3、数据选择器模块selector,实现了对楼层状态,运行状态及楼层请求等输出数据的分时输出。

其源程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity selector is

port(D0,D1,D2,D3,D4,D5,D6,D7:in std_logic_vector(3 downto 1);

sel:in std_logic_vector(2 downto 0);

M:out std_logic_vector(3 downto 1)

);

end selector;

architecture behave of selector is

begin

process(sel)

begin

case sel is

when "000"=>M<=D0;

when "001"=>M<=D1;

when "010"=>M<=D2;

when "011"=>M<=D3;

when "100"=>M<=D4;

when "101"=>M<=D5;

when "110"=>M<=D6;

when "111"=>M<=D7;

when others=>M<="000";

end case;

end process;

end behave;

4、译码器模块seg,实现了将二进制数据通过数码管显示的功能。

其源程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity seg is

port(A:in std_logic_vector(3 downto 1);

Q: out std_logic_vector(6 downto 0));

end seg;

architecture behave of seg is

begin

process(A)

begin

case A is

when"001"=>Q<="0110000";

when"010"=>Q<="1101101";

when"100"=>Q<="1111001";

when"000"=>Q<="1000111";

when"111"=>Q<="0001111";

when"110"=>Q<="0000001";

when others=>null;

end case;

end process;

end behave;

5、总体仿真波形图为:

此波形图为在一楼和二楼都有请求时,各个模块的对应波形变化。

四、我的设想

在本次的设计中虽然基本上达到了基本的最初的要求,但是我认为在设计上仍然存在着一些的不足,例如电梯的超载报警、故障报警、到达楼层后的铃声提示及运行状态的点阵显示这些功能都未能实现。我相信只要时间允许我肯定能实现我所预想的功能。

五、总结

经过这次设计,基本上实现了预期的目标,但是其中也是存在着一些的不足,有待改进,总体上还是成功的。通过本次的课程设计不仅巩固了我们所学习的课本知识,还提高了我们的动手能力。在这次设计过程中发现了很多问题,同时也发现了自身的不足,感谢老师和同学对我们的帮助,我会努力克服何在正自己的弱点,希望以后做的更

好。

PLC课程设计课题——三层电梯模型PLC控制系统设计与调试

仅供个人参考 一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS 向上行驶按钮 AYX 向下行驶按钮 YSJ 有/无司机选择开关 1YC 一楼行程开关 2YC 二楼行程开关 3YC 三楼行程开关 A1J 一楼指令按钮 A2J 二楼指令按钮 A3J 三楼指令按钮 AJ 指令专用开关(直驶) ZXF 置消防开关 A1S 一楼上召唤按钮 A2S 二楼上召唤按钮 A2X 二楼下召唤按钮 A3S 三楼上召唤按钮 A3X 三楼下召唤按钮 4.系统需要输出的开关控制信号: KM 开门显示 GM 关门显示 MGB 门关闭显示 DCS 上行显示 DCX 下行显示 S 上行继电器(控制电动机正转) X 下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED 七段显示器 b 段发光二极管 C LE D 七段显示器 c 段发光二极管 D LED 七段显示器 d 段发光二极管 E LED 七段显示器 e 段发光二极管 F LED 七段显示器 f 段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA 二楼指令信号登记显示 3DJA 三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 仅供个人参考 2DAS 二楼上召唤信号登记显示

2DAX 二楼下召唤信号登记显示 3DAS 三楼上召唤信号登记显示 3DAX 三楼下召唤信号登记显示 二、课题要求: 1.按题意要求,画出PLC 端子接线图及控制梯形图。 2.完成PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。 3. 完成课程设计说明书 三、答辩问题: 1.阐明程序设计思想及工作流程。 2.当层楼数增加,开关量输入和输出的点数将作如何变化? 3.若需要电梯只服务于奇数楼层,梯形图将作如何变换? 4.若需要电梯只服务于偶数层楼,梯形图将作如何变换? 5.若正常运行方式作为方式A ,上述3、4 题运行方式作为方式B 、方式C 、方式 D , 如何采用两个输入开关来任选其中一个作为当前运行方式? 6.电梯控制中清除召唤登记的条件是什么? 7.电梯控制中清除指令登记的条件是什么? 线路部分

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

(年度报告)曳引驱动电梯年度自检报告

曳引驱动电梯年度自检报告 QP19/JL19-I 用户单位 产品型号 产品编号 自检日期

巨人通力电梯有限公司

说明 1、本报告适用于曳引驱动电梯的年度自行检查(不适用于防爆电梯、消防员电梯、 杂物电梯)。 2、检验依据 TSG T7001-2009 《电梯监督检验和定期检验规则—曳引与强制驱动电梯》TSG T5001-2009 《电梯使用管理与维护保养规则》 使用维护相关文件 如上述标准、文件修订,则以最新标准、文件为准。 3、使用单位资料自查表“自查结论”一栏内只能填写合格或不合格。 对“自检结论”及报告书的单项结论一栏中只能填写“合格”、“不合格”和“无此项”。要求测试数据的项目应填写实测数据。 4、检验所用计量器具均在计量检定合格或校准有效期内。 5、检验条件应符合TSG T7001-2009《电梯监督检验和定期检验规则—曳引与强 制驱动电梯》的规定。 6、无相关人员签名、未填写检验日期或未加盖使用单位及维保单位公章或专用章 的自检报告无效。 7、本报告用黑色钢笔或签字笔填写,字迹应工整,如作修改,修改处应有修改人 员的签章。

8、本报告一式三份,由特种设备检验机构、使用单位和日常维保单位分别保存。 9、注:a)标注※的项目不作为无机房电梯检验项目。 b)标注★的项目为根据有关规定,对于允许按照GB7588-1995及更早期标准生产的电梯,可以不检验、或者可以按照《电梯监督检验规程》(国质检锅[2002]1号)中的有关规定进行检验的项目。其中条文序号为1.6(1)的项目,仅指可拆卸盘车手轮的电气安全装置可以不检验。 年度自检报告

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

杂物电梯年度自行检查报告

受控文件号: 杂物电梯 年度自检报告 报告编号 使用单位 自检日期 XXXXXXXXXXXXXXX公司

说明 1、本报告格式适用于本公司维修保养的杂物电梯的年度自行检查。 2、检验依据 TSG T7006-2012 《电梯监督检验和定期检验规则—杂物电梯》 TSG T5001-2009 《电梯使用管理与维护保养规则》 电梯使用维护说明书 3、“自检结果”和“审查结果”栏中“√”、“×”和“/”分别表示“合格”、“不 合格”和“无此项”。要求测试数据的项目应填写实测数据。 4、检验所用计量器具均在计量检定合格或校准有效期内。 5、检验条件应符合TSG T7006-2012《电梯监督检验和定期检验规则—杂物电梯》 的规定。 6、无相关人员签名、未填写检验日期或未加盖使用单位及维保单位公章或专用 章的自检报告无效。 7、本报告宜由计算机打印输出,或者用黑色钢笔、签字笔填写,字迹应工整, 如作修改,修改处应有修改人员的签章。 8、本报告一式三份,由特种设备检验机构、使用单位和日常维保单位分别保存。

基本情况和技术参数及年度自检报告 使用单位 联系地址邮政编码 联系人手机号联系电话 设备使用地点用户编号 使用单位代码安全管理人员 制造单位制造日期年月 设备名称 型号产品编号 额定载重量kg 额定速度m/s 层站数层站门控制方式□信号□集选□并联□梯群□其它 控制柜编号曳引机编号维保联系电话 自检结论该电梯按照TSG T5001-2009《电梯使用管理与维护保养规则》进行了年度自行检查,运行状况良好,各项目符合TSG T7006-2012《电梯监督检验和定期检验规则—杂物电梯》的规定和电梯使用维护说明书的要求,自检合格。检验:审核:维保单位(盖章) 年月日 备注

三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器 课程名称:数字电路与系统设计 学院(系):电子信息与电气工程学部 专业: 班级: 学生姓名: 学号: 完成日期:2012-7-5 成绩: 2012 年7 月05 日

题目:三层电梯控制器 1 设计要求 随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。 电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。 电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下: (1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 (2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每秒升(降)一层楼。 (4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。 (7)电梯初始状态为一层开门。 (8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。 (9)电梯到达指定楼层时有声音提示。 2 设计分析及系统方案设计 电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

电梯年度自检报告书-2017[1].10 (1)

电梯年度自检报告书 使用单位四平市良种繁殖场物业办 设备代码311001352925320110038 设备类别电梯 设备品种曳引式客梯 维保单位四平市凯利特电梯有限公司 自检日期2017年10 月20 日 四平市凯利特电梯有限公司

说明 1.本报告书适用于曳引驱动电梯的定期自检,不适用于防爆电梯、液压电梯、杂物电梯; 2.检验依据: GB7588-2003 《电梯制造与安装安全规范》 TSG T7001-2009 《电梯监督检验和定期检验规则—曳引与强制驱动电梯》 TSG T5002-2017 《电梯维护保养规则》 3.“检验结果”、“结论”栏中“√”、“×”、“/”分别表示“合格”、“不合格”和“无此项”。要求测试 数据的项目应填写实测数据,测试多个或一组数据时在附表或附图中记录; 4.检验所用计量器具均在计量检定合格或校准有效期内; 5.检验条件应符合TSG T7001-2009《电梯监督检验和定期检验规则—曳引与强制驱动电梯》的规定; 6.本报告未加盖检验专用章或公章无效; 7.本报告宜由计算机打印输出,或者用黑色钢笔、签字笔填写,字迹应工整,如作修改,修改处应有修 改人员的签章; 8.本报告一式三份,由特种设备检验机构、使用单位和施工单位分别保存; 9.除2.8(5)、7.1-7.3、7.4(2)外,其余49项适用于有机房曳引电梯,除2.1(3)、2.7(5)外,其余53 项适用于无机房曳引电梯。 10.对于允许按照GB7588-1995及更早期标准生产的电梯,标有★的项目可以不检验。其中2.7(5)项目, 仅指可拆卸盘车手轮的电气安全装置可以不检验。 11.标有☆的项目,已经按照TSG T7001-2009进行过监督检验的,应当进行检验,否则可以不检验。

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

plc实验报告之三层电梯的运行

电气控制综合实验报告 项目:三层电梯PLC控制系统 班级:电132 姓名: 学号: 联系方式: 学期:2015-2016-2 第一章实验过程记录以及调试步骤及方法 1.1实验过程记录 1.好好复习老师之前讲的一些基本的电路,例如轿厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。

2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真,看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分: a.打开计算机; b.打开GX Works2软件,将自己编写好的程序拷入电脑并打开; c.将PLC调为由RUN拨到STOP状态,然后将程序写入PLC; d.将PLC调为RUN(即运行状态)状态,然后打开组态; e.运行组态,点击启动; f.开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要 求; 1.2实验调试步骤及方法 1.调试软件GX Works2介绍 GX Work2是三菱电机推出的三菱综合PLC编程软件,是专用于PLC设计、调试、维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图1.2.1:

电梯年度自检报告

电 梯 年 度 自 检 报 告 使用单位: 设备代码: 设备名称: 自检日期: 检验结论:

x x x电梯专业维修有限公司 填写说明及注意事项 1、标记说明: (1)自检条件:填写“√”和“×”分别表示“符合”和“不符合”。 (2) 主要自检设备:填写“常规仪器箱编号和使用的非常规仪器”。 (3)自检记录栏: ①自检结果栏:自检结果为“符合”的项目,在自检结果栏填 “√”;自检结果为“不符合”的项目,在自检结果栏填“×”; 部分不符合的分项目,在其不符合的分项自检结果栏填 “×”,并在不符合内容与要求下,划一横线。“无此项”或 不适用的项目,在自检结果栏填“/”。 ②结论栏:结论为“合格”的项目,在结论栏填“√”;结论 为“不合格”的项目,在结论栏填“×”;“无此项”或不适 用的项目,在结论栏填“/”。 2、项目填写要求 ①、要求测试数据的项目,必须填写实测数据。有最大值或最 小值要求的,填最大或最小数据,有范围 要求的填范围。

②、无测试数据要求但是需要说明情况的项目,应当用简单的 文字予以说明,例如“×层门锁失效”。 ③、既有测试数据要求又有定性要求的项目,按以下要求填 写:1)定性要求部分自检为合格的,按要求填实测数据; 2)定性要求部分自检为不合格的,既要填定性要求不合 格的内容,又要按要求填实测数据。 3、“控制方式”填写用的代号: 4、各层门自检时的数据填写附件1。 5、设备名称按照《特种设备目录》中的“品种”填写:曳引式 客梯、强制式客梯、无机房客梯、消防电梯、观光电梯、防爆客梯、病床电梯、曳引式货梯、强制式货梯、无机房货 梯、汽车电梯、防爆货梯、液压客梯、防爆液压客梯、液压货梯、防爆液压货梯

PLC三层电梯课程设计报告书

中国石油大学胜利学院 电气控制及可编程控制技术 综合实验报告 必做题目:三层电梯自动控制 选做题目:四节传送带控制 学生:马金帅 学号: 201108011225 系别:机械与电气工程系 专业年级:2011级电气工程及其自动化本科2班 指导教师:王东起 2014年6月24日

一、设计任务与要求 1、要求:楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层。 到达指定楼层电梯停留10秒。 电梯在某一层停留时,有楼层显示。 20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效。 2、(二)四段传送带控制 (1)PLC上电后,按下启动按钮,四段传送带同时启动,四盏指示灯同时亮;(2)按下停止按钮,四段传送带同时停止,四盏指示灯同时灭 (3)按下四号传送带故障按钮,四号立即停止,四号指示灯立即灭,三号二号一号间隔五秒依次停;其 (4)按下三号故障按钮,四号三号立即停止,二号一号间隔五秒依次停止;(5)按下二号故障按钮,四号三号二号立即停止,一号五秒后停止; (6)当一号故障时,四号三号二号一号都立即停止。。 二、方案设计与论证 此次课程设计是电气控制与PLC原理及应用课程的实际应用,两个设计任务的具体要求中都运用了课程中所学的基本电路:起保停、自锁、互锁、单脉冲电路等,通过各个基本电路的连接,最终能够满足基本的要求,再通过对基本电路的拓展,就可以实现部分设计的附加功能。三层电梯自动控制设计共有14个输入信号,分别有楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层,等控制开关和按钮。16个输出信号,各层的指示灯和上下显示灯等。到达指定楼层电梯停留10秒。电梯在某一层停留时,有楼层显示。20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效 三、设计方案 设计一:三层电梯自动控制I/O分配表

PLC课程设计 三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用院部名称机电工程学院 专业电气工程及其自动化班级10电气1班 学生姓名管志成 学号1004103027 课程设计地点C314 课程设计学时20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

plc实验报告之三层电梯的运行

电气控制综合实验报告 PLC控制系统目:三层电梯项 132 电班级: 名:姓 学号:联系 方式: 2015-2016-2 期:学 实验过程记录以及调试步骤及方法 第一章实验过程记录1.好好复习老师之前讲的一些基本的电路,例如轿 厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。 2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真, 看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分:打开计算机;a. 软件,将自己编写好的程序拷入电脑并打开;打开GX Works2b. ;PLC拨到调为由RUNSTOP状态,然后将程序写入c.将PLC (即运行状态)状态,然后打开组态;RUNd.将PLC调为 运行组态,点击启动;e.

开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要求;f. 实验调试步骤及方法介绍 1.调试软件GX Works2 编程软件,是专用于PLCPLC设计、调试、GX Work2是三菱电机推出的三菱综合维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图: 图 GX Works2新建工程后界面 2.程序的调试 用软件GX Works2软件进行调试,是很有必要的一部分,它能帮你发现一些画梯PLC形图时出现的问题,还有一些意想不到的问题,熟练掌握调试方法对我们以后 的编程很有意义。 调试步骤3. 软件中,输入好之后,点将自己设计好的梯形图一行一行的输入到GX Worksa.击菜单栏中的“调试”,如下图: 图调试下拉菜单 b.点击模拟开始,可进行软件调试,如图: 图开始加载调试图 等到加载到百分之百就可以开始调试了。 c.在梯形图上面右击,出现下拉菜单,如图:

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目得 1、熟练地掌握PLC得编程与程序调试方法、 2、进一步熟悉PLC得I/O连接、 3、熟悉变频器得使用。 名称类型数量名称类型数量 PLC DVP20S X211R 1 以太网模块DVPEN01- SL 1 网络模块 DVPDNET —SL 1 远程I/O模块DVP16SP11 T 1 台达VFD变频器VFD002E L21A 1 台达RTU-DNE TRTU—DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-1 0E615 1 计算机 1 电梯由安装在各楼层厅门口得上升与下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠得楼层、电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向得呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。 PLC主机输入输出如表6—1所示。 表6—1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M 50 方向上Y0 箱内二层呼叫X1/M 51 方向下Y1 箱内一层呼叫X2/M 52 箱内三层呼叫指示Y2手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M 54 箱内一层呼叫指示Y4 一层上呼叫X5/M5 5 开门指示Y5

三层下呼叫X 6/M56 二层上呼叫X7 /M57 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/ 一层呼叫指示Y1/M71 M111 三层呼叫指示Y2/M72 二层到位限位开关X2/M1 12 二层上呼叫指示Y3/M73 三层到位限位开关X3/M 113 上限位X4二层下呼叫指示Y4/M7 4下限位X5蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M7 7电梯模型原理图如图6-1所示。 图6-1电梯模型原理图 四、实验步骤 1.设置变频器参数 在变频器操作面板上按MODE进入参数设定、按ENTER与上下选择键选择参数码与参数设定值。按表6-3进行参数设置。 参数码参数功能设定值 01、00 最高操作频率设定50 01、01 点击额定频率设定50 01.09第一加速时间设定0、1 01.10 第一减速时间设定0。1 02。00 第一频率指令来源设定0 02.01 运转指令来源设定 1 00。03 开机预设显示画面 1 2。按接PLC主机得X0~X7,Y0~Y5接PLC主机得Y0~Y5,X10~X15接远程模块得X0~X5,Y10~Y15接远程模块得Y0~Y5,5V电源得GND接上DC24V得GND,COM接PLC主机得C0。 PLC主机与远程模块得S/S接24V电源正极Z1,PLC主机得C0、C1、远程模块得C0接DC24V电源负原理图进行接线

基于西门子PLC s7-300的三层电梯课程设计报告

目录 一、引言 (2) 1、设计目的 (2) 2、设计任务 (2) 3、设计内容 (2) 4、设计意义 (2) 二、基础知识 (3) 1、PLC的工作原理 (3) 2、STEP7的简介 (4) 三、系统的设计准备 (6) 1、电梯控制示意图 (6) 2、系统流程图 (6) 四、系统的硬件设计 (9) 1、PLC硬件配置说明 (9) 2、PLC的I/O地址分配表 (9) 3、交通灯控制系统的I/O接线图 (10) 五、三层电梯控制系统的软件设计 (11) 1.楼层呼叫 (11) 2.轿厢停止控制 (12) 3.电梯上下行 (12) 4.轿厢开门关门 (13) 5.楼层显示 (15) 六、软件的调试与仿真 (16) 1.调试步骤 (16) 2.调试结果 (16) 七、总结 (20) 八、心得体会 (21) 九、参考文献 (22)

一、引言 1、设计目的 (1)熟练使用西门子公司的S7-300系列产品各基本指令和部分应用指令,根据控制要求进行PLC梯形图编程。 (2)进一步熟悉PLC的I/O连接。 (3)熟悉三层楼电梯采用轿厢内外按钮的编程方法 2、设计任务 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操作,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 3、设计内容 电梯处于基站,关门等运行状态时,此时按基站外呼按钮,信号经按钮传输到PLC,经PLC 判断为本层开门,再将信号传输到开关门电动机。输出开门信号,电梯开门。 人进入轿厢后,经延时,电梯自动关门。也可按关门按钮,使电梯提前关门。如果轿内指令选第几层按钮,则指令经串行传输到PLC上,显示屏上的对应层发光二极管闪亮,当手离开按钮后,信号被登记,电梯开始运行。PLC核实信号后,可将运行信号传输到各个工作部位并发出运行指令。电梯开始按给定曲线运行,其给定速度信号不断与速度反馈信号比较,不断校正,使电梯运行的速度曲线尽量符合理想的运行曲线,使电梯运行平稳。 运行过程中,井道中的轿厢位置传感继电器每过一个隔磁板即核对一次运行位置,并将信号输入PLC与其中记忆的位置和旋转编码器发回的脉冲数量核对,三个信号核对无误后电梯继续运行。电梯每到一个隔磁板,门区继电器即吸合一次,层楼指示便变化一次。 运行过程中PLC里的“先行楼层”不断寻索楼层呼梯指令信号。当“先行楼层”导索到呼梯指令后,上到站钟GU或下到站钟GD发出到站钟声,经延时,电脑发出换速信号,电梯开始减速运行。当隔磁板插入平层感应器时,电梯进一步减速进入爬行。当轿厢到达平层位置后,接触器断电,电梯停止运行。制动系统工作,电梯停稳。 电梯停稳后,发出开门信号,电梯开门。经延时,关门时间到,发出关门信号,电梯又开始关门。电梯门关好后,其运行方向按轿内指令和厅外召唤与轿厢的相对位置而定。如没有任何指令,电梯就地待命。 4、设计意义 随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。 电梯就是用于高层建筑物中的固定式升降运输设备,它有一个装载乘客的轿厢,沿着垂直或倾斜角度小于15°的导轨在各楼层间运行,是垂直运行的电梯、倾斜方向运行的自动扶梯、倾斜或水平方向运行的自动人行道的总称。随着城市建设的不断发展,高层建筑不断增多,电梯在国民经济和生活中有着广泛的应用。电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。有了电梯,摩天大楼才得以崛起,现代城市才得以长高。据估计,截至2002年,全球在用电梯约635万台,其中垂直电梯约610万台,自动扶梯和自动人行道约25万台。电梯已成为人类现代生活中广泛使用的人员运输工具。人们对电梯安全性、高效性、舒适性的不断追求推动了电梯技术的进步。如今,世界各国的电梯公司还在不断地进行电梯新品的研发、维修保养服务系统的完善,力求满足人们的对现代建筑交通日益增长的需求。

PLC三层电梯实验报告

PLC三层电梯实验报告

————————————————————————————————作者:————————————————————————————————日期:

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

电梯定期自行检查报告

文件编号 报告编号: 电梯定期自行检查报告 (适用:有机房曳引驱动电梯) 使用单位大唐彬长发电有限责任公司 管理部门设备部使用单位地址咸阳市长武县冉店 乡 联系人联系电话 使用单位代码安全管理人员 维护保养单位山东国安重工有限公司 联系人胡法江维保电话1519109929 6 (印制维保单位名称)

填写说明 1、本报告适用于有机房曵引驱动电梯的定期自行检查。由检查人员按照《电梯监督检验和定期检验规则—曳引与强制驱动电梯》要求,正确、真实填写各个项目的检查情况及检查结果。各栏应当填写齐全,不得出现空栏。 2、本报告可由计算机打印输出,也可用黑色或蓝色钢笔、签字笔填写,还可用印章填写。单页内各种填写方式不得混用。字迹应清晰、工整,语言应规范通顺,术语要标准,按法定的计量单位填写有关数据。 3、当报告中出现错误时允许扛改:将正确内容填写在其旁边,并在改动处由改动人签名和日期。不可涂改、刮改,不可使原字迹模糊或者消失。 4 术规范填写,或通过扛改在旁进行修正。计算机打印输出时,应删除未选取项。 5、检查信息、设备参数应严格依照实际情况完整、详细选取、填写。 6、检查项目“检查结果”栏的填写: a、栏内注明计量单位的项目为要求测试数据项目,应在栏内记录实际测量数据,或在附表记录实际测量数据,并在栏内记录统计数据;数据填写值最少修约至标准要求的最小位数; b、未要求测试数据但有需要说明的项目,应当以简明扼要的文字说明;未要求测试数据且无需说明的项目,根据检查情况分别填写“符合”、“不符合”、“/”(表示无此项); c、既有测试数据要求又有定性要求的项目,除按a款要求填写数据外,还要按b款要求填写定性部分检查结果; d、遇特殊情况,可填写“因……(原因)未检”、“待检”、“见附表”等;有需要说明的项目,用简单文字说明现场检查状况;内容过多时,可填写“见附页XX”,并增加附页填写,附页至少应有一名检查人员签字确认。 7、检查项目“检查结论”栏根据“检查结果”分别填写“合格”、“不合格”、“/”(表示无此项)。 8、“其他”栏根据设备具体情况、按照设计制造单位的要求填写需增加检查的内容要求与检查结果。 9、“备注”栏填写检查人员认为应记载的事项和制造单位特殊要求的需说明的事项。 10、本报告无检查、审核、批准、确认人员的签字和施工、使用单位签章无效。“检查日期”填写检查工作完成日期。 11、本报告的填写还应同时符合我单位质量保证体系相关作业指导书有关填写规定。 12、本报告一式三份,由检验机构、使用单位、施工单位分别保存。

组态王实验案例——三层电梯

组态王与S7-200PLC 三层电梯模拟实验 一、实验目的 1.熟悉组态王的画面制作、脚本动画的控制及组态王与S7-200PLC的通信。 2.通过对工程实例的模拟,熟练的掌握PLC的编程和程序的调试方法。 3.进一步熟悉PLC的I/0连接。 4.熟悉三层电梯采用轿厢外按钮控制的编程方法。 二、实验说明 电梯由安装在各个楼层厅门口的上升和下降按钮进行呼叫操作,其操作内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1~S3,用于选择需要停靠的楼层。L1~L3分别为一层、二层、三层的指示,SQ1~SQ3为到位行程开关,SQ1开关打在上表示电梯停在一层。按电梯内选按钮S2,电梯内选指示灯SL2亮,打下SQ1,电梯离开一层,L2灯亮电梯到达二层,打上SQ2,L2灯灭,电梯停在二层。按下三层行按钮D3,打下SQ2电梯离开二层,三层指示灯L3亮,打上SQ3电梯停在三层,L3灭。 三、实验步骤 1.用 STEP 7 MicroWIN软件编写三层电梯的梯形图程序,调试下载S7-200PLC中(程序见附录I)。 2.实验箱接线图如下: PLC主机公共端接线:1L接2L接3L接M 1M接2M接L+(注:此接线为低电平有效)

3.打开组态王软件绘制如下画面 3.在组态王工程浏览器中的设备中新建一个三层电梯 图图图 在工程浏览器中双击“设备”点击“新建...”后选择PLC找到“西门子”选择S7-200系列(USB)如图所示然后点击下一步起名字为三层电梯如图所示点击“下一步”如图在此处需要设定PLC的地址(此地址是组态王与S7-200通信的地址:2)“点击”下

一步“最后点击“完成”。 退出按钮的动画连接为:选中按钮双击→按下时→输入EXIT(0); 4.在工程浏览器中的数据词典里建立如下变量 4.在工程浏览器的“命令语言”里的“应用程序命令语言”中“运行时”里输入一下脚本代码: \\本站点\速度=5; //复位 if(\\本站点\复位RST==1 ||\\本站点\组态复位==1) \\本站点\轿厢移动=0; //层数显示 if(\\本站点\轿厢移动<155 &&\\本站点\轿厢移动>=0) \\本站点\电梯层数=1; if(\\本站点\轿厢移动>155 &&\\本站点\轿厢移动<330) \\本站点\电梯层数=2; if(\\本站点\轿厢移动>330) \\本站点\电梯层数=3; //电梯移动 if(\\本站点\轿厢上升指示UP==1) {\\本站点\轿厢移动=\\本站点\轿厢移动+\\本站点\速度;} if(\\本站点\轿厢下降指示DOWN==1) {\\本站点\轿厢移动=\\本站点\轿厢移动-\\本站点\速度;} if(\\本站点\二层指示L2==1 &&\\本站点\轿厢上升指示UP==1 ) {if(\\本站点\轿厢移动<155) \\本站点\轿厢移动=\\本站点\轿厢移动+\\本站点\速度;

相关主题
相关文档 最新文档