当前位置:文档之家› 半导体工艺要点

半导体工艺要点

半导体工艺要点
半导体工艺要点

半导体工艺要点

1、什么是集成电路

通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能

2、集成电路设计与制造的主要流程框架

设计-掩模板-芯片制造-芯片功能检测-封装-测试

3、集成电路发展的特点

特征尺寸越来越小

硅圆片尺寸越来越大

芯片集成度越来越大

时钟速度越来越高

电源电压/单位功耗越来越低

布线层数/I/0引脚越来越多

4、摩尔定律

集成电路芯片的集成度每三年提高4倍,而加工特征尺寸(多晶硅栅长)倍,这就是

摩尔定

5、集成电路分类

6、半导体公司

中芯国际集成电路制造有限公司(SMIC)

上海华虹(集团)有限公司

上海先进半导体制造有限公司

台积电(上海)有限公司

上海宏力半导体制造有限公司TI 美国德州仪器

7、直拉法生长单晶硅

直拉法法是在盛有熔硅或锗的坩埚内,引入籽晶作为非均匀晶核,然后控制温度场,将籽晶旋转并缓慢向上提拉,晶体便在籽晶下按籽晶的方向长大。

1.籽晶熔接: 加大加热功率,使多晶硅完全熔化,并挥发一定时间后,将籽晶下降与液面接近,使籽晶预热几分钟,俗称“烤晶”,以除去表面挥发性杂质同时可减少热冲击

2.引晶和缩颈:当温度稳定时,可将籽晶与熔体接触。此时要控制好温度,当籽晶与熔体液面接触,浸润良好时,可开始缓慢提拉,随着籽晶上升硅在籽晶头部结晶,这一步骤叫“引晶”,又称“下种”。“缩颈”是指在引晶后略为降低温度,提高拉速,拉一段直径比籽晶细的部分。其目的是排除接触不良引起的多晶和尽量消除籽晶内原有位错的延伸。颈一般要长于20mm

3.放肩:缩颈工艺完成后,略降低温度,让晶体逐渐长大到所需的直径为止。这称为“放肩”。在放肩时可判别晶体是否是单晶,否则要将其熔掉重新引晶。单晶体外形上的特征—棱的出现可帮助我们判别,<111>方向应有对称三条棱,<100>方向有对称的四条棱。

4.等径生长:当晶体直径到达所需尺寸后,提高拉速,使晶体直径不再增大,称为收肩。收肩后保持晶体直径不变,就是等径生长。此时要严格控制温度和拉速不变。

5.收晶:晶体生长所需长度后,拉速不变,升高熔体温度或熔体温度不变,加快拉速,使晶体脱离熔体液面。

8、直拉法的两个主要参数:拉伸速率,晶体旋转速率悬浮区熔法

倒角是使晶圆边缘圆滑的机械工艺

9、外延层的作用

EpitaxyPurpose

1、Barrier layer for bipolar transistor

2、Reduce collector resistance while keep high breakdown voltage.

3、Improve device performance for CMOS and DRAM because much lower oxygen,

4、carbon concentration than the wafer crystal

Epitaxy application,bipolar transistor

Epitaxy application, CMOS

10、

气相外延(CVD):在气相状态下,将半导体材料淀积在单晶片上,使它沿着单晶片的结晶轴方向生长出一层厚度和电阻率合乎要求的单晶层,这一工艺称为气相外延

液相外延(LCD)是将溶质放入溶剂,并在一定温度下成为均匀溶液,然后使溶液在衬底上逐渐冷却,当超过饱和点后,便有固体析出,而进行晶体生长。以GaAs为例,是以Ga为溶剂,As为溶质溶解成溶液,布在衬底上,使之缓慢冷却,当溶液超过饱和点时,衬底上便析出GaAs而生成晶体。

金属有机物气相沉积(MOCVD):采用Ⅱ族,Ⅲ族元素的有机化合物和Ⅴ族,Ⅵ族元素的氢化物作为晶体生长的源材料,以热分解的方式在衬底上进行外延生长的方法

分子束外延(MBE):在超高真空条件下,用分子束输运生长源进行外延生长的方法

化学束外延(CBE): 用气态源进行MBE生长的方法

蒸发(evaporation):在真空中,通过加热使金属、合金或化合物蒸发,然后凝结在器件表面上的方法

溅射(Sputtering):利用高速正离子轰击靶材(阴极),使靶材表面原子以一定能量逸出,然后在器件表面沉积的过

硅外延生长

1.外延不同的分类方法以及每种分类所包括的种类

按外延层性质:同质外延,异质外延

按电阻率:正外延,反外延

按生长方法:直接外延,间接外延

按相变过程:气相,液相,固相外延

2.硅气相外延分类,硅气相外延原料

SiH4, SiH2CL2,(直接分解)

SiHCL3,SiCL4,H2(氢还原法)

3.用SiCL4外延硅的原理以及影响硅外延生长的因素以及优点

基本原理:SiCL4+2H2===Si+4HCL

SiCL4浓度,温度,气流速度,衬底晶向

在电阻率极低的衬底上生长一层高电阻率外延层,器件制做在外延层上,

高电阻的外延层保证管子有高的击穿电压,

低电阻率的衬底又降低了基片的电阻,降低了饱和压降,

4.硅的异质外延有哪两种

在蓝宝石,尖晶石衬底上的SOS(Silicon On Sapphire, Silicon On Spinel)外延生长

在绝缘衬底上进行的SOI(Silicon On Insulator)外延生长

5.什么是同质外延,异质外延,直接外延,间接外延

同质外延;衬底与外延层是同种材料

异质外延;衬底与外延层是不同材料

直接外延;用物理方法(加热,电场,离子轰击)将生长材料沉淀到衬底表面

间接外延;用化学反应在衬底上沉淀外延层

6.什么是自掺杂?外掺杂?抑制自掺杂的途径有哪些

自掺杂:在外延生长过程中,衬底中的杂质进入气相中,再次掺入外延层的现象

外掺杂:杂质不是来源于衬底,由人为控制的掺杂方式

途径;减少杂质从衬底溢出

采用减压生长技术

外延的定义

Sio2做掩埋层的原因,杂质在sio2中扩散速率远远小于在si中的扩散速率

液相外延是将溶质放入溶剂,并在一定温度下成为均匀溶液,然后使溶液在衬底上逐渐冷却,当超过饱和点后,便有固体析出,而进行晶体生长。以GaAs为例,是以Ga为溶剂,As 为溶质溶解成溶液,布在衬底上,使之缓慢冷却,当溶液超过饱和点时,衬底上便析出GaAs而生成晶体。

介电强度衡量材料耐压能力大小的,单位是V/cm,表示单位厚度的SiO2所能承受的最大击穿电压

介电常数,高K,低K

高K:MOS器件中电介质要求具有较大的介电常数,栅氧化层电容要大,1、减小电容器的体积和重量2、增大电荷容量提高电学性能

低K:器件和衬底间的寄生电容要小

SiO2在集成电路制造中的用途

1.扩散,离子注入的(有时与光刻胶、Si3N4层一起使用) 掩蔽层(阻挡,屏蔽层不准确)

2.器件表面保护和钝化层

3.MOS器件的组成部分--栅介质

4.电容介质

5.器件隔离用的绝缘层

6.多层布线间的绝缘层

Gate oxide and capacitor dielectric in MOS devices

Isolation of individual devices (STI)

Masking against implantation and diffusion

Passivation of silicon surface

集成电路的隔离有PN结隔离和介质隔离两种,SiO2用于介质隔离.,漏电流小,岛与岛之间的隔离电压大,寄生电容小

STI(Shallow T rench Isolation)

热氧化分为干氧氧化、湿氧氧化、水气氧化以及掺氯氧化、氢氧合成等

热氧化化学反应虽然非常简单,但氧化机理并非如此,因为一旦在硅表面有二氧化硅生成,它将阻挡O原子与Si原子直接接触,所以其后的继续氧化是O原子通过扩散穿过已生成的二氧化硅层,向Si一侧运动到达界面进行反应而增厚的

通过一定的理论分析可知,在初始阶段氧化层厚度(X)与时间(t)是线性关系,而后变成抛物线关系。

通常来说,小于1000埃的氧化受控于线性机理。这是大多数MOS栅极氧化的范围。

无论是干氧或者湿氧工艺,二氧化硅的生长都要消耗硅,如图所示。硅消耗的厚度占氧化总厚度的0.44,这就意味着每生长1μm的氧化物,就有0.44μm的硅消耗(干、湿氧化略有差别)。

(a)氧化前的硅片

(b) 氧化后的硅片

快速退火技术(RTP技术) Rapid Thermal Processing

优点:

1.杂质浓度不变,并100%激活.

2.残留晶格缺陷少,均匀性和重复性好.

3.加工效率高,可达200~300片/h.

4.设备简单,成本低.

5.温度较高(1200℃),升温速度较快(75~200 ℃/sec)

6.掺杂物的扩散最小化

快速加热工艺主要是用在离子注入后的退火,目的是消除由于注入带来的晶格损伤和缺陷目前的栅氧化层厚度大概在3nm左右

退火(Annealing)

实际上这个工艺主要是针对离子注入的

原理:利用热能(Thermal Energy),将物体内产生内应力的一些缺陷加以消除。所施加的能量将增加晶格原子及缺陷在物体内的振动及扩散,使得原子的排列得以重整

离子注入过程是一个非平衡过程,高能离子进入靶后不断与原子核及其核外电子碰撞,逐步损失能量,最后停下来。停下来的位置是随机的,一部分不在晶格上,因而没有电活性,需要退火激活不在晶格位置而在晶格间隙的杂质离子;同时修复晶格注入损伤

主要的退火制程有:

1.后离子注入(Post Ion Implantation);

2.金属硅化物(Silicide)的退火。

主要硅化金属材料有:WSix, TiSi2(用于Salicide制程), MoSi2, CoSi2等。

退火后,金属硅化物电阻率可降到只有原来的10%。

3.BPSG——硼磷硅玻璃(Boro phospho silicate Glass)

二氧化硅原有的有序网络结构由于硼磷杂质(B2O3,P2O5)的加入而变得疏松,在高温条件下某种程度上具有像液体一样的流动能力(Reflow)。因此BPSG薄膜具有卓越的填孔能力,并且能够提高整个硅片表面的平坦化,从而为光刻及后道工艺提供更大的工艺范围4.SOG(Spin-On Glass)旋涂式玻璃

1.局部氧化隔离法隔离(LOCOS----local oxidation of silicon)

传统的0.25μm工艺以上的器件隔离方法是硅的局部氧化。它利用了氧在Si3N4中扩散非常缓慢的性质,从而使得被氮化硅覆盖的硅层在氧化过程中极难生成氧化物。氮化硅将作为氧化物阻挡层保持不变

杂质在氮化硅中的扩散系数小于在二氧化硅中的

衬垫氧化层的作用

1缓冲氮化硅的高应力张力

2预防应力产生硅的缺陷

鸟嘴效应对工艺的影响

1二氧化硅内部的横向扩散引起的

2在氮化硅层下生长

3鸟嘴‖区属于无用的过渡区,既不能作为隔离区,也不能作为器件区,浪费许多硅表面区域,这对提高集成电路中的集成度极其不利

4局域氧化层的高度对后道工艺中的平坦化也不利,影响光刻制程和薄膜沉积

抑制鸟嘴效应,

最普遍的方法就是多晶硅缓冲PBL(poly buffered LOCOS)制程。

使用一层多晶硅(500A)来缓冲氮化硅的应力,这样,衬垫氧化层的厚度就能从大约500A减小到100A,这样就可以大大减少氧化物的侵入。

2.浅沟槽隔离(STI----Shallow trench isolation)

浅沟槽隔离(STI)是一种全新的器件隔离方法,它可以在全平坦化的条件下使―鸟嘴‖区宽度接近于零,目前已成为0.25m以下集成电路生产过程中的标准器件隔离技术

STI技术中的主要绝缘材料是淀积氧化物

先進的STI : 使用高密度電漿CVD 沉積USG(Un-doped Silicate Glass)非掺杂硅(酸盐)玻璃,减小了横向扩散

掺杂工艺:热扩散法掺杂(diffusion)

离子注入法掺杂(ion implant)

横向扩散直接影响了结电容,当使用较小的图形尺寸时,扩散掺杂会造成相邻接面短路

热扩散通常分三个步骤进行:

1预淀积(predeposition)也称预扩散

目的是为了控制杂质总量,即形成一层较薄但具有较高浓度的杂质层

2推进(drive in)也称主扩散,或叫再分布

目的为了控制表面浓度和扩散深度

3激活(activation)

稍微升高温度,使杂质原子与晶格中的硅原子键合。此过程激活了杂质原子,改变了硅的电导率

扩散参数测量主要指扩散薄层电阻、扩散结深的测量

扩散薄层电阻,又称方块电阻,数值反应出硅中所掺杂质总量

1.方块电阻定义:如果扩散薄层为一正方形,其长度(边长)都等于L,厚度就是扩散薄层的深度(结深),在单位方块中,电流从一侧面流向另一侧面所呈现的电阻值,就称为薄层电阻,又称方块电阻,单位是Ω/□

根据R=ρL/S,得RS= ρL/Lxj= ρ/xj

方块电阻与方块的尺寸无关,仅与扩散结深(扩散薄层的深度:扩散形成的pn结的深度)xj及杂质浓度有关

薄层电阻的

大小直接反映了扩散入硅内部的净杂质总量,方块电阻越小,掺杂的杂质总量越大;方块电阻越大,掺杂的杂质总量越小

q 电荷,μ载流子迁移率,n 载流子浓度,Q:从表面到结边界这一方块薄层中,单位面积上杂质总量

假定杂质全部电离,载流子浓度= 杂质浓度n = N 则:

一般用四探针法测出方块电阻Rs (sheet Resistance),

结深的计算

1、衬底杂质浓度NB

2、表面杂质浓度Ns

3、扩散时间t

4、扩散系数D

在集成电路中金属薄膜主要用于

1.欧姆接触(Ohmic Contact)

2.肖特基接触(Schottky Barrier Contact)

3.低阻栅电极(Gate Electrode)

4.器件间互联(interconnect)

接触孔(contact):指硅芯片内的器件与第一层金属层之间在硅表面的连接

互连线(interconnect):由导电材料,(如铝,多晶硅或铜)制成的连线将电信号传输到芯片的不同部分

通孔(via):通过各种介质层从某一金属层到相邻的另一金属层形成电通路的开口

―填充薄膜‖:是指用金属薄膜填充通孔,以便在两金属层之间形成电连接。

层间介质(ILD:Inner Layer Dielectric ):是绝缘材料,它分离了金属之间的电连接。ILD 一旦被淀积,便被光刻刻蚀成图形,以便为各金属层之间形成通路。用金属(通常是钨W)填充通孔,形成通孔填充薄膜

金属层和硅衬底的接触,既可以形成整流接触,也可以形成欧姆接触,主要取决于半导体的掺杂浓度及金-半接触的势垒高度

金属/半导体的两种接触类型:

欧姆接触Ohmic Contact :具有线性和对称的V-I特性,电路特性较好,且接触电阻很小;重掺杂

肖特基接触SchottkyContact :相当于理想的二极管;轻掺杂

金属和硅接触的问题

1.尖峰现象"spiking" problems

硅不均匀溶解到Al中,并向Al中扩散,硅片中留下空洞,Al填充到空洞,引起短路

解决办法

1在Al中掺入1-2% Si以满足溶解性

2利用扩散阻挡层( Diffusion Barrier ),常用扩散阻挡层:TiN, TiW,较好的方法是采用阻挡层, Ti 或TiSi2有好的接触和黏附性,TiN 可作为阻挡层

2铝的电迁移

当直流电流流过金属薄膜时,导电电子与金属离子将发生动量交换,使金属离子沿电子流的方向迁移,这种现象称为金属电迁移

后果:电迁移会使金属离子在阳极端堆积,形成小丘或晶须,造成电极间短路,在阴极端由于金属空位的积聚而形成空洞,导致电路开路

解决方法:

1采用Al-Cu或Al-Si-Cu(硅1.2~2%,铜2~4%)合金。

2铜原子在多晶状Al的晶粒边界处分凝,阻止Al原子沿晶粒边界的运动。

3优化版图设计,降低电流密度

由于ULSI组件密度的增加,互连电阻和寄生电容也会随之增加,从而降低了信号的传播速度。

减小互连电阻可通过用铜取代铝作为基本的导电金属而实现。对于亚微米的线宽,需要低

K值层间介质(ILD)。通过降低介电常数来减少寄生电容

IC互连金属化引入铜的优点

1.电阻率的减小:互连金属线的电阻率减小可以减少信号的延迟,增加芯片速度。

2.功耗的减少:减小了电阻,降低了功耗。

3.更高的集成密度:更窄的线宽,允许更高密度的电路集成,这意味着需要更少的金属层。

4.良好的抗电迁移性能:铜不需要考虑电迁徒问题。

5.更少的工艺步骤:用大马士革方法处理铜具有减少工艺步骤20%to 30 %的潜力

用铜作为半导体互连主要涉及三个方面的挑战

1.铜快速扩散进氧化硅和硅,一旦进入器件的有源区,将会损坏器件。

2.应用常规的等离子体刻蚀工艺,铜不能容易形成图形。干法刻蚀铜时,在它的化学反应期间不产生挥发性的副产物,而这对于经济的干法刻蚀是必不可少的。

3.低温下(<200℃)空气中,铜很快被氧化,而且不会形成保护层阻止铜进一步氧化Polycide和Salicide则是分别指对着不同的形成Silicid e的工艺流程

Silicide就是金属硅化物,硅化物是一种具有热稳定性的金属化合物,并且在硅/难熔金属的分界面具有低的电阻率。在硅片制造业中,难熔金属硅化物是非常重要的,因为为了提高芯片性能,需要减小许多源漏和栅区硅接触的电阻。在铝互连技术中,钛和钴是用于接触的普通难熔金属。

什么叫做polycide和Salicide结构及工艺?他们的优点是什么?如何实现?

Polycide一般是由silicide和poly si组成的多晶硅化物。

优点在于:低的电阻,热稳定性好,好的化学稳定性,能与硅形成均匀一致的界面。

实现:

1.多晶硅的沉积和掺杂,PVD或者CVD沉积。

2.金属硅化物沉积,PVD或者CVD沉积。

3.热退火。

4.栅掩模光刻

5.RIE刻蚀

6. S/D离子注入

Salicide(Self Aligned Silicide)是自对准硅化物的简称。

由于在优化超大规模集成电路的性能方面,需要进一步按比列缩小器件的尺寸,因此在源/漏和第一金属层之间电接触的面积是很小的。这个小的接触面积将导致接触电阻增加。一个可提供稳定接触结构、减小源/漏区接触电阻的工艺被称为自对准硅化物技术。它能很好地与露出的源、漏以及多晶硅栅的硅对准。许多芯片的性能问题取决于自对准硅化物的形成

Salicide: 它的生成比较复杂,先是完成栅刻蚀及源漏注入以后,以溅射的方式在POLY上淀积一层金属层(一般为Ti,Co或Ni),然后进行第一次快速升温退火处理(RT A),使多晶硅表面和淀积的金属发生反应,形成金属硅化物。

根据退火温度设定,使得其他绝缘层(Nitride 或Oxide)上的淀积金属不能跟绝缘层反应产生不希望的硅化物,因此是一种自对准的过程(does not require lithographic patterning processes)。

然后再用一种选择性强的湿法刻蚀(NH4OH/H2O2/H20或H2SO4/H2O2的混合液)清除不需要的金属淀积层,留下栅极及其他需要做硅化物的salicide。

优点在于:1.自对准。2.s/d区寄生电阻大大减少3.栅层互联电阻减少,很好的界面,适合应用于短沟道器件。

实现过程:

1.自对准多晶硅生成,。

2.绝缘介质沉积,RIE刻蚀形成侧墙。

3.S/D区形成

4.磁控溅镀一层金属在整个晶片的表面

5.低温快速热退火,使淀积的金属膜与源漏极的硅和栅极的多晶硅反应,而形成金属硅化物

6. 未参加反应的金属用湿法刻蚀加以去除。

7.高温快速热退火,形成高电导的金属硅化区

polycide:降低栅极电阻

salicide:既能降低栅极电阻,又能降低源漏电阻

Cu的优势

铜连线的电阻R比铝连线小。铜的电阻率为1.7μΩ/cm,铝的电阻率为3.1μΩ/cm

铜连线的寄生电容比铝连线小

铜比铝有更低耐电迁移性能,能承受更高的温度

铜连线IC制造成本低

铜连线的双镶嵌(dual damascene ) IC工艺,比铝连线IC工艺减少了约20%一30%的工序,特别是省略了腐蚀铝等难度较大的瓶颈工序

铜互连所面临的问题

1铜的污染问题

-Cu是半导体的深能级杂质,对半导体中的载流子具有强的陷阱效应

-Cu在SiO2介质中的扩散很快,从而使SiO2的介电性能严重退化

2Cu引线的图形加工问题,大马士革结构与CMP技术相结合成功解决了Cu引线图形的加工问题

3Cu在空气和低温下(<200℃)易氧化,不能形成保护层来阻止自身的进一步被氧化和腐蚀

电镀是完成铜互连线(Cu薄膜的沉积)的主要工艺

局部平坦化的特点是在一定范围的硅片表面上实现平坦化,主要技术为旋涂玻璃(SOG)法。SOG是一种相当于SiO2的液相绝缘材料,通过类似涂胶的工艺,将其有效地填满凹槽以实现局部平坦化

化学机械抛光法(CMP-Chemical-Mechanical Polishing),是一种全面平坦化的技术

Cu的双大马士革工艺流程(Dual damascene)

由于Cu不容易形成图形,粘附性不好,易氧化

1、氮化硅或二氧化硅淀积

2、通孔光刻

3、部分通孔光刻

4、沟槽光刻

5、籽晶沉淀

6、Cu填充8、Cu的CMP

半导体薄膜:Si,GaAs

介质薄膜: SiO2, BPSG, Si3N4,

金属薄膜:Al, Cu

对薄膜的要求

1、Uniform thickness across wafer, and wafer-to -wafer.每一硅片和硅片之间均匀性好

2、Desired composition, low contaminates, good electrical and mechanical properties. 组分正确,沾污少,电机械性能好

3. Good step coverage (―conformal coverage‖)台阶覆盖性好

4. Good filling of spaces. 填充性好

5. Planarized films . 平整性好

可以用深宽比来描述一个小间隙(如槽或孔)深宽比定义为间隙的深度和宽度的比值

高深宽比间隙难淀积均匀厚度的膜

APCVD示意图

LPCVD

PCVD 或PECVD:Plasma-enhanced CVD 等离子体增强化学气相淀积(PECVD)是指采用高频等离子体驱动的一种气相淀积技术,是一种射频辉光放电的物理过程和化学反应相结合的技术。该气相淀积的方法可以在非常低的衬底温度下淀积薄膜,例如在铝(AL)上淀积Si02。工艺上PECVD主要用于淀积绝缘层。

PEVCD PECVD通常是用来沉积SiO2 与Si3N4 等介电质薄膜

CVD 过程中使用等离子体的好处

1.更低的工艺温度(250 – 450℃);应用范围广

2.对高的深宽比间隙有好的填充能力(用高密度等离子体);

3.淀积的膜对硅片有优良的黏附能力;

4.高的淀积速率;

5.少的针孔和空洞,因为有高的膜密度;

淀积SiO2的方法硅烷法和TEOS法

1、硅烷法:硅烷和氧反应

2、TEOS法TEOS是正硅酸乙脂。分子式为Si(C2H5O) 4,室温下是一种液体。可以直接分解生成SiO2层,用TEOS分解法具有温度低,均匀性好,台阶覆盖优良、膜质量好等优点,

另一种是通过TEOS与O2/O3反应,来得到SiO2。

Si(OC2 H5)4+O2→SiO2 +副产物,产物平整度很好,

但反应温度一般大于600℃

多晶硅的化学汽相淀积:利用多晶硅替代金属铝作为MOS器件的栅极是MOS集成电路技

术的重大突破之一,它比利用金属铝作为栅极的MOS器件性能得到很大提高,而且采用多晶硅栅技术可以实现源漏区自对准离子注入,使MOS集成电路的集成度得到很大提高

硅化钨熔点高,稳定性好,电阻率低,主要应用在改善金属铝与硅之间的欧姆接触,以及MOS器件栅极部分的金属层,为降低电阻率,需要经过退火处理。

BPSG中B和P的作用

B:降低回流温度P:阻挡Na离子

淀积金属技术:蒸发和溅射,溅射工艺主要用于溅射刻蚀和薄膜淀积两个方面

通常可用光刻次数及所需掩模的个数来表示某生产工艺的难易程度

光刻胶对大部分可见光敏感,对黄光不敏感。

因此光刻通常在黄光室(Y ellow Room))内进行

光刻是一种图形复印和化学腐蚀相结合的精密表面加工技术。用照相复印的方法将掩模版上的图案转移到硅片表面的光刻胶上,以实现后续的有选择刻蚀或注入掺杂

光刻把图形转移到光刻胶上,刻蚀在晶圆上形成电路图形

光刻的要求

(1)高分辨率

(2)高灵敏度

(3)精密的套刻对准

(4)大尺寸硅片上的加工

(5)低缺陷

现有VLSI工艺都采用正胶,因为它分辨率高

正胶:曝光前不可溶,曝光后可溶

负胶:曝光前可溶,曝光后不可溶

光刻胶由4种成分组成:树脂(聚合物材料)感光剂,溶剂,添加剂(减小反射之类的)

对负性胶,聚合物曝光后会由非聚合状态变为聚合状态。在大多数负性胶里面,聚合物是聚异戊二烯类型。是一种相互粘结的物质--抗刻蚀的物质

正性胶的基本聚合物是苯酚-甲醛聚合物,也称为苯酚-甲醛树脂

光刻的基本步骤:

1、气相成底膜处理

增强涂胶的粘附性

2、旋转涂胶(Spin-on PR Coating)(PR---Photo-Resist)

3、软烘(soft baking)

去除光刻胶中的溶剂

4、对准和曝光(Alignment)&(Exposure )

5. 曝光后烘烤(PEB,Post Exposure Baking)

目的:促进光刻胶的化学反应,提高光刻胶的粘附性并减少驻波6、显影(Development)显影液溶解部分光刻胶

显影三个类型的问题:

7、坚膜烘焙(后烘Post-baking;硬烘Hard Baking)

a.完全蒸发光刻胶中的溶剂

b.坚膜保护下表面

c.增强光刻胶和硅片表面的粘附性

8、显影后检查

由于曝光光源的不同,分为光学曝光,X射线曝光,电子束曝光和离子束曝光由于掩膜版的位置不同,又分为接触式曝光,接近式曝光和投影式曝光

接触式曝光Contact printing

接近式曝光Proximity printing

投影式曝光projection printing

曝光光源一般要求:

短波长(波长越短,可曝光的特征尺寸越小)

高强度(为了保持合适的曝光时间)

高稳定性

投影式曝光分类

扫描投影曝光(Scanning Project Printing)

步进重复投影曝光(S tepping-repeating Project Printing或Stepper)。步进扫描投影曝光(S tepping – Scanning ProjecPrinting)

UV紫外光DUV 深紫外光

影响曝光质量的一些因素

1.光刻胶厚度的不均匀

2.驻波效应(standing wave): 干涉的一种

在光刻胶的曝光区域内出现相长相消的条纹。光刻胶在显影后,在侧壁会产生波浪状的不平整的现象叫驻波效应

减少驻波效应的2个途径

1、抗反射层(Anti Reflection Coating, ARC)

2、曝光后烘烤(PEB)

基本光学概念

1、数值孔径(Numerical Aperture NA)光学系统的数值孔径描述透镜收集衍射光以及把它投影到硅片上的能力

数值孔径越大,图像越清晰

2、分辨率-Resolution

最小线宽R=Kλ/NA (K取决光刻系统和光刻胶的性质)

提高分辨率,减小最小线宽

3、焦深DOF (Depth of focus )

焦深是焦点上面和下面的范围,在这个范围内图像连续的保持清晰,焦深应该穿越光刻胶的上下表面

焦深的方程

分辨率和焦深是一对矛盾,它们是对图像起关键作用的两个因素,NA越小,焦深越大,差的分辨率,为提高分辨率,减少波长的方法比增加NA好

特征尺寸(CD---critical dimensions)

7、VLSI对刻蚀的要求

刻蚀偏差:薄膜图形和掩蔽膜图形之间的横向尺寸的差异

保真度:横向速率越小,保真度越高,即掩膜版上的图形可以不失真的转移到硅片表面

选择比:不同材料之间的(纵向)刻蚀速率之比

均匀性

刻蚀速率:常用埃/分钟表示,刻蚀窗口的深度称为台阶高度

刻蚀剖面:指被刻蚀图形的侧壁形状。

清洁度

横向(lateral), 纵向(vertical)

两种刻蚀剖面:各向同性和各向异性刻蚀剖面

各向同性刻蚀剖面:刻蚀只在垂直于硅片表面的方向进行,只有很少的横向刻蚀

各向同性刻蚀(Isotropic etch)在所有方向刻蚀速率相同(一般针对化学反应)

各向异性刻蚀(Anisotropic etch) 在不同方向刻蚀速率不同。(一般针对物理刻蚀,如溅射等)

8、ULSI对刻蚀的要求

得到满意的剖面(desired profile)

最小的过腐蚀(undercut) 或偏差(bias)

选择性好(Selectivity)

均匀性好,可重复性好(Uniform and reproducible)

对表面和电路损伤最小(Minimal damage to surface and circuit)

干净、安全、经济(Clean, safe and economical)

要兼顾选择性和方向性,优化刻蚀工艺

湿法刻蚀:这是各向同性的刻蚀方法,利用化学反应过程去除待刻蚀区域的薄膜材料

干法刻蚀:利用等离子体与硅片发生物理或化学反应(或两种反应)除去暴露的表面材料MOS栅极结构:SiO2+多晶硅+金属硅化物

大电流密度下,有显著的电迁移现象

当直流电流流过金属薄膜时,导电电子与金属离子将发生动量交换,使金属离子沿电子流的方向迁移,这种现象称为金属电迁移

电迁移会使金属离子在阳极端堆积,形成小丘或晶须,造成电极间短路,在阴极端由于金属空位的积聚而形成空洞,导致电路开路

NPN晶体管剖面图

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上) 概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。 光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于 10nm)。其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker),用于涂胶显影;扫描曝光机(Scanning ) ?光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性;准确地对准;大尺寸硅片的制造;低的缺陷密度。 ? 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 ?1、硅片清洗烘干(Cleaning and Pre-Baking) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMDS-〉六甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMDS蒸气淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;? ?b、旋转涂底。缺点:颗粒污染、涂底不均匀、HMDS用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coating) 方法:a、静态涂胶(Static)。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%);

半导体全制程介绍

《晶圆处理制程介绍》 基本晶圆处理步骤通常是晶圆先经过适当的清洗(Cleaning)之后,送到热炉管 (Furnace)内,在含氧的环境中,以加热氧化(Oxidation)的方式在晶圆的表面 形成一层厚约数百个的二氧化硅层,紧接着厚约1000到2000的氮化硅层 将以化学气相沈积Chemical Vapor Deposition;CVP)的方式沈积(Deposition)在刚刚长成的二氧化硅上,然后整个晶圆将进行微影(Lithography)的制程,先在 晶圆上上一层光阻(Photoresist),再将光罩上的图案移转到光阻上面。接着利用蚀刻(Etching)技术,将部份未被光阻保护的氮化硅层加以除去,留下的就是所需要的线路图部份。接着以磷为离子源(Ion Source),对整片晶圆进行磷原子的植入(Ion Implantation),然后再把光阻剂去除(Photoresist Scrip)。制程进行至此,我们已将构成集成电路所需的晶体管及部份的字符线(Word Lines),依光罩所提供的设计图案,依次的在晶圆上建立完成,接着进行金属化制程(Metallization),制作金属导线,以便将各个晶体管与组件加以连接,而在每一道步骤加工完后都必须进行一些电性、或是物理特性量测,以检验加工结果是否在规格内(Inspection and Measurement);如此重复步骤制作第一层、第二层...的电路部份,以在硅晶圆上制造晶体管等其它电子组件;最后所加工完成的产品会被送到电性测试区作电性量测。 根据上述制程之需要,FAB厂内通常可分为四大区: 1)黄光本区的作用在于利用照相显微缩小的技术,定义出每一层次所需要的电路图,因为采用感光剂易曝光,得在黄色灯光照明区域内工作,所以叫做「黄光区」。 2)蚀刻经过黄光定义出我们所需要的电路图,把不要的部份去除掉,此去除的步骤就> 称之为蚀刻,因为它好像雕刻,一刀一刀的削去不必要不必要的木屑,完成作品,期间又利用酸液来腐蚀的,所 以叫做「蚀刻区」。 3)扩散本区的制造过程都在高温中进行,又称为「高温区」,利用高温给予物质能量而产生运动,因为本区的机台大都为一根根的炉管,所以也有人称为「炉管区」,每一根炉管都有不同的作用。 4)真空

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

半导体工艺流程

1、清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1 —6所示。 图1—6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作 为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为:

Si + O2f SiO2 3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 f 2P + 3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 光刻胶 基片------------ ?涂胶后基片 1 1 1 1 ~ 显影后基片V------------- 曝光后基片 6、湿法腐蚀和等离子刻蚀

半导体封装制程简介

(Die Saw) 晶片切割之目的乃是要將前製程加工完成的晶圓上一顆顆之芯片(Die)切割分離。首先要在晶圓背面貼上蓝膜(blue tape)並置於鋼 製的圆环上,此一動作叫晶圓粘片(wafer mount),如圖一,而後再 送至晶片切割機上進行切割。切割完後,一顆顆之芯片井然有序的排 列在膠帶上,如圖二、三,同時由於框架之支撐可避免蓝膜皺摺而使 芯片互相碰撞,而圆环撐住膠帶以便於搬運。 圖一 圖二

(Die Bond) 粘晶(装片)的目的乃是將一顆顆分離的芯片放置在导线框架(lead frame)上並用銀浆(epoxy )粘着固定。引线框架是提供芯片一個粘着的位置+ (芯片座die pad),並預設有可延伸IC芯片電路的延伸腳(分為內 引腳及外引腳inner lead/outer lead)一個引线框架上依不同的設計可以有 數個芯片座,這數個芯片座通常排成一列,亦有成矩陣式的多列排法 。引线框架經傳輸至定位後,首先要在芯片座預定粘着芯片的位置上点

上銀浆(此一動作稱為点浆),然後移至下一位置將芯片置放其上。 而經過切割的晶圓上的芯片則由焊臂一顆一顆地置放在已点浆的晶 粒座上。装片完後的引线框架再由传输设备送至料盒(magazine) 。装片后的成品如圖所示。 引线框架装片成品 胶的烧结 烧结的目的是让芯片与引线框晶粒座很好的结合固定,胶可分为银浆(导电胶)和绝缘胶两种,根据不同芯片的性能要求使用不同的胶,通常导电胶在200度烤箱烘烤两小时;绝缘胶在150度烤箱烘烤两个半小时。 (Wire Bond) 焊线的目的是將芯片上的焊点以极细的金或铜线(18~50um)連接到引线框架上的內引腳,藉而將IC芯片的電路訊號傳輸到外界。當

芯片制造-半导体工艺教程

芯片制造-半导体工艺教程 Microchip Fabrication ----A Practical Guide to Semicondutor Processing 目录: 第一章:半导体工业[1][2][3] 第二章:半导体材料和工艺化学品[1][2][3][4][5]第三章:晶圆制备[1][2][3] 第四章:芯片制造概述[1][2][3] 第五章:污染控制[1][2][3][4][5][6] 第六章:工艺良品率[1][2] 第七章:氧化 第八章:基本光刻工艺流程-从表面准备到曝光 第九章:基本光刻工艺流程-从曝光到最终检验 第十章:高级光刻工艺 第十一章:掺杂 第十二章:淀积 第十三章:金属淀积 第十四章:工艺和器件评估 第十五章:晶圆加工中的商务因素 第十六章:半导体器件和集成电路的形成 第十七章:集成电路的类型 第十八章:封装 附录:术语表

#1 第一章半导体工业--1 芯片制造-半导体工艺教程点击查看章节目录 by r53858 概述 本章通过历史简介,在世界经济中的重要性以及纵览重大技术的发展和其成为世界领导工业的发展趋势来介绍半导体工业。并将按照产品类型介绍主要生产阶段和解释晶体管结构与集成度水平。 目的 完成本章后您将能够: 1. 描述分立器件和集成电路的区别。 2. 说明术语“固态,” “平面工艺”,““N””型和“P”型半导体材料。 3. 列举出四个主要半导体工艺步骤。 4. 解释集成度和不同集成水平电路的工艺的含义。 5. 列举出半导体制造的主要工艺和器件发展趋势。 一个工业的诞生 电信号处理工业始于由Lee Deforest 在1906年发现的真空三极管。1真空三极管使得收音机, 电视和其它消费电子产品成为可能。它也是世界上第一台电子计算机的大脑,这台被称为电子数字集成器和计算器(ENIAC)的计算机于1947年在宾西法尼亚的摩尔工程学院进行首次演示。 这台电子计算机和现代的计算机大相径庭。它占据约1500平方英尺,重30吨,工作时产生大量的热,并需要一个小型发电站来供电,花费了1940年时的400, 000美元。ENIAC的制造用了19000个真空管和数千个电阻及电容器。 真空管有三个元件,由一个栅极和两个被其栅极分开的电极在玻璃密封的空间中构成(图1.2)。密封空间内部为真空,以防止元件烧毁并易于电子的====移动。 真空管有两个重要的电子功能,开关和放大。开关是指电子器件可接通和切断电流;放大则较为复杂,它是指电子器件可把接收到的信号放大,并保持信号原有特征的功能。 真空管有一系列的缺点。体积大,连接处易于变松导致真空泄漏、易碎、要求相对较多的电能来运行,并且元件老化很快。ENIAC 和其它基于真空管的计算机的主要缺点是由于真空管的烧毁而导致运行时间有限。 这些问题成为许多实验室寻找真空管替代品的动力,这个努力在1947年12月23曰得以实现。贝尔实验室的三位科学家演示了由半导体材料锗制成的电子放大器。

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上)概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40?60% 光刻机是生产线上最贵的机台,5?15百万美元/台。主要是贵在成像系统 (由15?20个直径为200?300mm勺透镜组成)和定位系统(定位精度小于10nm。其折旧速度非常快,大约3?9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker ),用于涂胶显影;扫描曝光机(Scanning ) 光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性; 准确 地对准;大尺寸硅片的制造;低的缺陷密度。 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 1、硅片清洗烘干(Cleaning and Pre-Baking ) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150?2500C,1?2分钟, 氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);除去水 b、蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMD?六 甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMD蒸气淀积,200?2500C,30秒钟; 优点:涂底均匀、避免颗粒污染;b、旋转涂底。缺点:颗粒污染、涂底不 均匀、HMD用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coati ng ) 方法:a、静态涂胶(Static )。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65?85%旋涂后约占10?20% ; b、动态 (Dynamic)。低速旋转 (500rpm_rotation per minute )、滴胶、加速旋转(3000rpm)、甩胶、挥发溶剂。

半导体制造基本概念

半导体制造基本概念 晶圆(Wafer) 晶圆(Wafer)的生产由砂即(二氧化硅)开始,经由电弧炉的提炼还原成冶炼级的硅,再经由盐酸氯化,产生三氯化硅,经蒸馏纯化后,透过慢速分解过程,制成棒状或粒状的「多晶硅」。一般晶圆制造厂,将多晶硅融解后,再利用硅晶种慢慢拉出单晶硅晶棒。一支85公分长,重76.6公斤的8?? 硅晶棒,约需2天半时间长成。经研磨、??光、切片后,即成半导体之原料晶圆片。 光学显影 光学显影是在光阻上经过曝光和显影的程序,把光罩上的图形转换到光阻 下面的薄膜层或硅晶上。光学显影主要包含了光阻涂布、烘烤、光罩对准、曝光和显影等程序。小尺寸之显像分辨率,更在IC 制程的进步上,扮演着最关键的角色。由于光学上的需要,此段制程之照明采用偏黄色的可见光。因此俗称此区为黄光区。 干式蚀刻技术 在半导体的制程中,蚀刻被用来将某种材质自晶圆表面上移除。干式蚀刻(又称为电浆蚀刻)是目前最常用的蚀刻方式,其以气体作为主要的蚀刻媒介,并藉由电浆能量来驱动反应。 电浆对蚀刻制程有物理性与化学性两方面的影响。首先,电浆会将蚀刻气体分子分解,产生能够快速蚀去材料的高活性分子。此外,电浆也会把这些化学成份离子化,使其带有电荷。 晶圆系置于带负电的阴极之上,因此当带正电荷的离子被阴极吸引并加速向阴极方向前进时,会以垂直角度撞击到晶圆表面。芯片制造商即是运用此特性来获得绝佳的垂直蚀刻,而后者也是干式蚀刻的重要角色。 基本上,随着所欲去除的材质与所使用的蚀刻化学物质之不同,蚀刻由下列两种模式单独或混会进行:

1. 电浆内部所产生的活性反应离子与自由基在撞击晶圆表面后,将与某特定成份之表面材质起化学反应而使之气化。如此即可将表面材质移出晶圆表面,并透过抽气动作将其排出。 2. 电浆离子可因加速而具有足够的动能来扯断薄膜的化学键,进而将晶圆表面材质分子一个个的打击或溅击(sputtering)出来。 化学气相沉积技术 化学气相沉积是制造微电子组件时,被用来沉积出某种薄膜(film)的技术,所沉积出的薄膜可能是介电材料(绝缘体)(dielectrics)、导体、或半导体。在进行化学气相沉积制程时,包含有被沉积材料之原子的气体,会被导入受到严密控制的制程反应室内。当这些原子在受热的昌圆表面上起化学反应时,会在晶圆表面产生一层固态薄膜。而此一化学反应通常必须使用单一或多种能量源(例如热能或无线电频率功率)。 CVD制程产生的薄膜厚度从低于0.5微米到数微米都有,不过最重要的是其厚度都必须足够均匀。较为常见的CVD薄膜包括有: ■二气化硅(通常直接称为氧化层) ■氮化硅 ■多晶硅 ■耐火金属与这类金属之其硅化物 可作为半导体组件绝缘体的二氧化硅薄膜与电浆氮化物介电层(plasmas nitride dielectrics)是目前CVD技术最广泛的应用。这类薄膜材料可以在芯片内部构成三种主要的介质薄膜:内层介电层(ILD)、内金属介电层(IMD)、以及保护层。此外、金层化学气相沉积(包括钨、铝、氮化钛、以及其它金属等)也是一种热门的CVD应用。 物理气相沉积技术 如其名称所示,物理气相沉积(Physical Vapor Deposition)主要是一种物理制程而非化学制程。此技术一般使用氩等钝气,藉由在高真空中将氩离子加速以撞击溅镀靶材后,可将靶材原子一个个溅击出来,并使被溅击出来的材质(通常为铝、钛或其合金)如雪片般沉积在晶圆表面。制程反应室内部的高温与高真空环境,可使这些金属原子结成晶粒,再透过微影图案化(patterned)与蚀刻,来得到半导体组件所要的导电电路。 解离金属电浆(IMP)物理气相沉积技术

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

半导体的生产工艺流程

半导体的生产工艺流程 微机电制作技术,尤其是最大宗以硅半导体为基础的微细加工技术 (silicon-basedmicromachining),原本就肇源于半导体组件的制程技术,所以必须先介绍清楚这类制程,以免沦于夏虫语冰的窘态。 一、洁净室 一般的机械加工是不需要洁净室(cleanroom)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型 鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统 中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆 放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(airshower)的程序,将表面粉尘 先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人 员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DIwater,de-ionizedwater)。 一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrierchannel),影响半导体组件的工作特性。去离子水以电阻率(resistivity)来定义好坏,一般要求至 17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与 UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使 用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔

A1半导体工艺生产流程

A1半导体工艺生产流程 半导体的生产工艺流程,做工艺 一、洁净室 一般的机械加工是不需要洁净室(clean room) 的,因为加工分辨率在数十微米以上,远比日常环境的微尘 颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的 洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5 微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵(参见图2-1)。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只岀不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(air shower) 的程序,将表面粉尘先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位 外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化 妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DI water, de-ionized water)。一则防止水中粉粒污 染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrier channel),影响半导体组件的工作特性。去离子水以电阻率(resistivity) 来定义好坏,一般要求至17.5M Q -cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡, 才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气(98%),吹干晶圆的 氮气甚至要求99.8% 以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保 问题,再再需要大笔大笔的建造与维护费用!

宽禁带半导体材料和工艺设计

宽禁带半导体材料与工艺 1.1 宽禁带半导体的概念和发展 宽禁带半导体(WBS)是自第一代元素半导体材料(Si)和第二代化合物半导体材料(GaAs、GaP、InP等)之后发展起来的第三代半导体材料。这类材料主要包括SiC(碳化硅)、C-BN(立方氮化硼)、GaN(氮化镓、)AlN(氮化铝)、ZnSe(硒化锌)以及金刚石等。 第二代半导体GaAs与Si相比除了禁带宽度增大外,其电子迁移率与电子饱和速度分别是Si的6倍和2倍,因此其器件更适合高频工作。GaAs场效应管器件还具有噪声低、效率高和线性度好的特点但相比第三代半导体GaN和SiC,它的热导率和击穿电场都不高,因此它的功率特性方面的表现不足。为了满足无线通信、雷达等应用对高频率、宽禁带、高效率、大功率器件的需要从二十世纪九十年代初开始,化合物半导体电子器件的研究重心开始转向宽禁带半导体。 我们一般把禁带宽度大于2eV的半导体称为宽禁带半导体。宽禁带半导体材料具有宽带隙、高临界击穿电场、高热导率、高载流子饱和漂移速度等特点,在高温、高频、大功率、光电子及抗辐射等方面具有巨大的应用潜力。 1.2 主要的宽禁带半导体材料 近年来,发展较好的宽禁带半导体材料主要是SiC和GaN,其中SiC的发展更早一些,碳化硅、氮化镓、硅以及砷化镓的一些参数如下图所示:

图1-1 半导体材料的重要参数 如上图所示,SiC和GaN的禁带宽度远大于Si和GaAs,相应的本征载流子浓度小于硅和砷化镓,宽禁带半导体的最高工作温度要高于第一、第二代半导体材料。击穿场强和饱和热导率也远大于硅和砷化镓。 2.1 SiC材料 纯碳化硅是无色透明的晶体。工业碳化硅因所含杂质的种类和含量不同,而呈浅黄、绿、蓝乃至黑色,透明度随其纯度不同而异。碳化硅晶体结构分为六方或菱面体的α-SiC和立方体的β-SiC(称立方碳化硅)。α-SiC由于其晶体结构中碳和硅原子的堆垛序列不同而构成许多不同变体,已发现70余种。β-SiC于2100℃以上时转变为α-SiC。 SiC是IV-IV族二元化合物半导体,也是周期表IV族元素中唯一的一种固态化合物。构成元素是Si和C,每种原子被四个异种原子所包围,形成四面体单元(图25a)。原子间通过定向的强四面体SP3键(图25b)结合在一起,并有一定程度的极化。SiC具有很强的离子共价键,离子性对键合的贡献约占12%,决定了

半导体工艺整理资料

第一章微电子工艺引论 1.硅片、芯片的概念硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片芯片:由硅片生产的半导体产品 2.* 什么是微电子工业技术?微电子工业技术主要包括哪些技术?微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术。包括超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等 3.集成电路制造涉及的5 个大的制造阶段的内容集成电路制造阶段:硅片制备、芯片制造、芯片测试/ 拣选、装配与封装、终测 4. IC工艺前工序,IC工艺后工序,以及IC工艺辅助工序 IC工艺前工序:薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发)等 掺杂技术:主要包括扩散和离子注入等技术图形 转换技术:主要包括光刻、刻蚀等技术 IC工艺后工序:划片、封装、测试、老化、筛选 IC工艺辅助工序:超净厂房技术;超纯水、高纯气体制备技术;光刻掩膜版制备技术;材料准备技术 5.微芯片技术发展的主要趋势提高芯片性能(速度、功耗)提高芯片可靠性(低失效)降低芯片成本(减小特征尺寸,增加硅片面积,制造规模) 6.什么是关键尺寸(CD)?芯片上的物理尺寸特征称为特征尺寸特别是硅片上的最小特征尺寸,也称为关键尺寸或CD 第二章半导体材料 1 .本征半导体和非本征半导体的区别是什么? 本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9) 2 .为何硅被选为最主要的半导体材料? 硅材料: 硅的丰裕度——制造成本低 熔点高(1412 0C)――更宽的工艺限度和工作温度范围 SiO2的天然生成 3. GaAs相对硅的优点和缺点各是什么?优点: a)比硅更高的电子迁移率,高频微波信号响应好一一无线和高速数字通信 b)抗辐射能力强――军事和空间应用 c)电阻率大――器件隔离容易实现 d)发光二极管和激光器 主要缺点 a)没有稳定的起钝化保护作用的自然氧化层 b)晶体缺陷比硅高几个数量级 c)成本高 第三章圆片的制备 1.两种基本的单晶硅生产方法 直拉法(CZ法)、区熔法 2.晶体缺陷根据维数可分为哪四种? a) 点缺陷—空位、自填隙等 b) 线缺陷—位错 c) 面缺陷—层错

半导体工艺(精)

半导体的生产工艺流程 -------------------------------------------------------------------------------- 一、洁净室 一般的机械加工是不需要洁净室(clean room)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(air shower) 的程序,将表面粉尘先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。) 当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DI water, de-ionized water)。一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS) 晶体管结构之带电载子信道(carrier channel),影响半导体组件的工作特性。去离子水以电阻率(resistivity) 来定义好坏,一般要求至17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔大笔的建造与维护费用! 二、晶圆制作 硅晶圆(silicon wafer) 是一切集成电路芯片的制作母材。既然说到晶体,显然是经过纯炼与结晶的程序。目前晶体化的制程,大多是采「柴可拉斯基」(Czycrasky) 拉晶法(CZ 法)。拉晶时,将特定晶向(orientation) 的晶种(seed),浸入过饱和的纯硅熔汤(Melt) 中,并同时旋转拉出,硅原子便依照晶种晶向,乖乖地一层层成长上去,而得出所谓的晶棒(ingot)。晶棒的阻值如果太低,代表其中导电杂质(impurity dopant) 太多,还需经过FZ悬浮区熔法法(floating-zone) 的再结晶(re-crystallization),将杂质逐出,提高纯度与阻值。

半导体工艺(自己总结)

只是想多了解下工艺,因为自己不是学这个的,要补课啊 .... 是不是可以这么理解: 1.PAD oxide :SiO2在LOCOS 和STI 形成时都被用来当作nitride 的衬垫层,如果没有这个SiO2衬垫层作为缓冲之用,LPCVD nitride 的高张力会导致wafer 产生裂缝甚至破裂,同时也作为NITRIDE ETCH 时的STOP LA YER 2.SAC oxide :Sacrificial Oxide 在gate oxidation 之前移除wafer 表面的损伤和缺陷,有助于产生一个零缺陷的wafer 表面以生成高品质的gate oxide;经过HDP 后Pad Oxide 结构已经被破坏了,可能无法阻挡后面Implant 的离子。所以生长一层Sac Oxide ,作为在后面Implant 时对Device 的保护。 3.BPSG 含硼及磷的硅化物 BPSG 乃介于Poly 之上、Metal 之下,可做为上下两层绝缘之用,加硼、磷主要目的在使回流后的Step 较平缓,以防止Metal line 溅镀上去后,造成断线 4.ONO (OXIDE NITRIDE OXIDE ) 氧化层-氮化层-氧化层 半导体组件,常以ONO 三层结构做为介电质(类似电容器),以储存电荷,使得资料得以在此存取。在此氧化层 - 氮化层 – 氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而氮化层居中,则可阻挡缺陷(如pinhole )的延展,故此三层结构可互补所缺. 5.space Oxide RIE Etch:猜想应当是氧化物隔离的反应离子刻蚀(RIE-Reactive Ion Etch ) 反应离子刻蚀是以物理溅射为主并兼有化学反应的过程。通过物理溅射实现纵向刻蚀,同时应用化学反应来达到所要求的选择比,从而很好地控制了保真度。刻蚀气体(主要是F 基和CL 基的气体)在高频电场(频率通常为13.56MHz )作用下产生辉光放电,使气体分子或原子发生电离,形成“等离子体”(Plasma )。在等离子体中,包含有正离子(Ion+)、负离子(Ion-)、游离基(Radical )和自由电子(e )。游离基在化学上是很活波的,它与被刻蚀的材料发生化学反应,生成能够由气流带走的挥发性化合物,从而实现化学刻蚀。 6:IMD Inter-Metal-Dielectric 金属绝缘层...(汗...........) 7:SOG spin-on glass 旋涂玻璃用于平坦化.SOD 是 SPIN-ON DOPANTS?自旋转掺杂剂?,具体作用不甚清楚了.... 至于N-DEPL 我怀疑是否是N 耗尽区的意思,但是不是很清楚CMOS 工艺中是如何实现这样的一个层次的,它是环绕DIFF 区域的一个可选层.莫非是反型的隔离? 外延: 外延生长之所以重要,在于外延层中的杂质浓度可以方便的通过控制反应气流中的杂质含量加以调节,而不依赖于衬底中的杂质种类与掺杂水平。 外延技术可用于解决高频功率器件的击穿电压与集电极串联电阻对集电极电阻率持相反要求的矛盾;掺杂较少的外延层保证了较高的击穿电压,高掺杂的衬底则可以大大降低集电极的串联电阻 CVD 需要高温,反应过程为()+气体4SiCl ()气体22H ()()↑+?气体固体HCl Si 4①,同时存在一竞争反应()()()气体固体气体242SiCl Si SiCl ?+,②因此若四氯化硅的浓度太高,则硅

半导体全制程介绍

半导体全制程介绍 《晶圆处理制程介绍》 基本晶圆处理步骤通常是晶圆先经过适当的清洗 (Cleaning)之后,送到热炉管(Furnace)内,在含氧的 环境中,以加热氧化(Oxidation)的方式在晶圆的表面形 成一层厚约数百个的二氧化硅层,紧接着厚约1000到 2000的氮化硅层将以化学气相沈积Chemical Vapor Deposition;CVP)的方式沈积(Deposition)在刚刚长成的二氧化硅上,然后整个晶圆将进行微影(Lithography)的制程,先在晶圆上上一层光阻(Photoresist),再将光罩上的图案移转到光阻上面。接着利用蚀刻(Etching)技术,将部份未被光阻保护的氮化硅层加以除去,留下的就是所需要的线路图部份。接着以磷为离子源(Ion Source),对整片晶圆进行磷原子的植入(Ion Implantation),然后再把光阻剂去除(Photoresist Scrip)。制程进行至此,我们已将构成集成电路所需的晶体管及部份的字符线(Word Lines),依光罩所提供的设计图案,依次的在晶圆上建立完成,接着进行金属化制程(Metallization),制作金属导线,以便将各个晶体管与组件加以连接,而在每一道步骤加工完后都必须进行一些电性、或是物理特性量测,以检验加工结果是否在规格内(Inspection and Measurement);如此重复步骤制作第一层、第二层的电路部份,以在硅晶圆上制造晶体管等其它电子组件;最后所加工完成的产品会被送到电性测试区作电性量测。 根据上述制程之需要,FAB厂内通常可分为四大区: 1)黄光本区的作用在于利用照相显微缩小的技术,定义出每一层次所需要的电路图,因为采用感光剂易曝光,得在黄色灯光照明区域内工作,所以叫做「黄光区」。

半导体技术-半导体制程

半导体制程 一、洁净室 一般的机械加工是不需要洁净室(clean room)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1.内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2.为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3.所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4.所有建材均以不易产生静电吸附的材质为主。 5.所有人事物进出,都必须经过空气吹浴 (air shower) 的程序,将表面粉尘先行去除。 6.人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。) 当然,化妆是在禁绝之内,铅笔等也禁止使用。 7.除了空气外,水的使用也只能限用去离子水 (DI water, de-ionized water)。一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染MOS晶体管的载子信道(channel),影响半导体组件的工作特性。去离子水以电阻率 (resistivity) 来定义好坏,一般要求至17.5M?-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8.洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气 (98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔大笔的建造与维护费用! 二、晶圆制作 硅晶圆 (silicon wafer) 是一切集成电路芯片的制作母材。既然说到晶体,显然是经过纯炼与结晶的程序。目前晶体化的制程,大多是采用「柴可拉斯基」(Czycrasky) 拉晶法 (CZ法)。拉晶时,将特定晶向 (orientation) 的晶种 (seed),浸入过饱和的纯硅熔汤 (Melt) 中,并同时旋转拉出,硅原子便依照晶种晶向,乖乖地一层层成长上去,而得出所谓的晶棒 (ingot)。晶棒的阻值如果太低,代表其中导电杂质 (impurity dopant) 太多,还需经过FZ法 (floating-zone) 的再结晶 (re-crystallization),将杂质逐出,提高纯度与阻值。辅拉出的晶棒,外缘像椰子树干般,外径不甚一致,需予以机械加工修边,然后以X光绕射法,定出主切面 (primary flat) 的所在,磨出该平面;再以内刃环锯,削下一片片的硅晶圆。最后经过粗磨 (lapping)、化学蚀平 (chemical etching) 与拋光 (polishing) 等程序,得出表面粗糙度在0.3微米以下拋光面之晶圆。(至于晶圆厚度,与其外径有关) 三、半导体制程设备 半导体制程概分为三类:(1)薄膜成长 (2)微影罩幕 (3)蚀刻成型。设备也跟着分为四类:(a)高温炉管 (b)微影机台 (c)化学清洗蚀刻台 (d)电浆真空腔室。其中(a)~(c)机台依序对应(1)~(3)制程,而新近发展的第(d)项机台,则分别应用于制程(1)与(3)。

相关主题
文本预览
相关文档 最新文档