当前位置:文档之家› 模拟电路实验计划及实验总结提纲

模拟电路实验计划及实验总结提纲

模拟电路实验计划及实验总结提纲
模拟电路实验计划及实验总结提纲

2012—2013学年第1学期

电工、电子技术实验计划及实验总结提纲

认识电工、电子技术实验(参考)

一、实验的意义、要求

1、意义

直观;验证和调试;技能训练及项目开发(创业基础)。

2、要求

胆大心细,反复验证,数据详细,认真总结(实验报告)。

二、仿真的意义、要求

1、意义

设计和绘制;不需要元、器件的验证和实验。

2、要求

特别注意仿真数据与工程数据的差别、仿真元器件与实际元器件的差别。

三、预习报告、实验报告的意义及写作方法

1、意义

预习报告:实验前了解实验目的、做好实验准备及熟悉实验全过程。

实验报告:实验后对实验数据及实验全过程进行分析总结。

2、方法

预习报告:1、实验课题,2、实验目的,3、实验器材及设备,4、实验电路,5、实验步骤。

实验报告:1、实验课题,2、实验目的,3、实验器材及设备,4、实验电路,5、实验地点,6、实验步骤(注意记录每一步骤的实验数据和实验现象及结果),7、实验总结。

模拟电路实验

实验名称:通用仪器使用方法

实验目的:了解几种常用电子仪器的工作原理,理解其面板结构,熟练掌握几种通用仪器的使用方法

实验器材:模拟万用表、数字万用表,交流毫伏表、信号源、示波器。

实验地点:模拟电子技术实验室

实验步骤:

一,万用表

1、理解面板结构:表笔插孔,档位转盘

2、练习使用方法:

举例如图:用电流表测量R2的电流和电压时,电流表

和电压表的连接方法。(附图只作参考,画出实际实验

连接图)

3、注意事项:

(1)功能档位必须准确

(2)表笔插孔不得有错

(3)量程必须比实际测量值大

提示:万用表指针停留在满刻度的三分之二左右时

读数误差较小。

二,交流毫伏表

1、理解万用表交流电压档与交流毫伏表的适用范围

2、理解面板结构:三个读数刻度盘、量程转盘、信号线接口、电源开关

3、练习使用方法:接地线——打开电源——调零——调整量程——接信号线——关闭电源——断开信号线——断开地线

三,信号源

1、理解面板结构:电源开关、信号类型按钮、频率粗调按钮和频率微调旋钮、信号幅度调节旋钮、信号幅度衰减按钮。

2、练习使用方法:练习调整频率、练习调整幅度、练习调整波形

四,示波器

1、理解面板结构:

2、练习使用方法:自测校正信号的幅度、周期,同时显示两个信号的调整

五,配套测量练习

用信号源产生正弦信号,用示波器观察波形、测量周期频率、测量峰峰值,用交流毫伏表测量有效值、并与示波器测量值进行比较。

实验总结:总结这些仪器的用途,实验中遇到的问题及解决办法,绘出实验室连接过的电路和测得的典型数据,并分别进行分析。

实验名称:仿真的意义和方法

实验目的:练习仿真软件Multisim的应用方法,掌握共射极放大器的仿真调试方法,理解仿真测试与实验测试的区别。Array实验器材:计算机及Multisim软件

实验地点:微机房

实验步骤:

1、起动仿真软件建立文档

2、放置元件连接电路

3

大状态(记录静态工作点)

4、调整各种失真记录图形,记录

最大不失真输出的静态工作点

实验总结:简述学习Multisim软件的体会,总结仿真与实验的差别

实验名称:单管共射极放大器

实验目的:练习配套使用几种常用电子仪器仪表,掌握放大器静态工作点的调试方法和交流参数的测量方法,从失真波形直观理解截止失真、饱和失真、削顶失真和最大不失真输出。实验器材:共射极放大器电路板,模拟电路实验箱,万用表,交流毫伏表,信号源,示波器。实验地点:模拟电子技术实验室

实验步骤:

1、调节静态工作点:调节R W至I CQ= 2mA(使三极管工作在放大区),用万用表直流电压档测量出U BEQ、U CEQ、I BQ,直观认识三极管工作在放大状态的静态工作点。

1、调整并观察记录三极管的三种失真波形(连接电路如附图):

附图:单管共射极放大器电路图

各种失真波形如下图:

2、测量交流参数

交流电压放大倍数Au =

i

O

U U (分别测量R L = ∞、R L = 2.4K 的Au )

交流输入电阻r i =

i

i

I U 交流输出电阻r o :

L

O L o O R U

R r U =+'

实验总结:1、测出三种失真波形情况下,直流U BE 、U CE ,分别说明造成三种失真的原因。2、负载R L 对电压放大倍数有何影响。3、如何调节最大不失真输出状态。4、与仿真的数据比较,分析实验与仿真各自特点。

实验名称:射极跟随器

实验目的:掌握共集电极放大电路交流参数的测试方法,与共集电极放大电路进行比较,总结出两种结构的放大电路各自特点。

实验器材:共集电极(射极跟随器)放大电路板,模拟电路实验箱,万用表,交流毫伏表,信号源,示波器。

实验地点:模拟电子技术实验室

实验步骤:

1、输入1KH Z,0.5V左右的正弦信号(电路如附图),调节R W,观察截止失真、饱和失真、削顶失真,分别测出相应的静态工作点(测静态工作点是断开或短路U S),并且比较分析静态工作点与三种失真的关系。

2、输出不是真的前提下测量出交流参数Au、r i、r o、f L、f H、f BW(开关K闭合前后各测量一次)。

附图:射极跟随器电路图

实验总结:比较分析静态工作点与三种失真的关系,并与共射极放大电路进行比较,总结出

两种电路各自的特点。

实验名称:负反馈放大电路

实验目的:练习两级放大电路静态工作点的调试方法,验证负反馈对放大器交流参数的影响实验器材:两级共射极放大电路板,模拟电路实验箱,万用表,交流毫伏表,信号源,示波器。

实验地点:模拟电子技术实验室

实验步骤:

20uF

负反馈电路原理图

1、应用信号源、示波器和万用表,调节第一级放大电路静态工作点,至最大不失真输出,

调节第二级静态工作点,至最大不失真输出。

2、断开负反馈网络开关K,测量开环状态的交流参数Au、r i、r o、f L、f H、f BW。

3、接通负反馈网络开关K ,测量闭环状态的交流参数A uf 、r if 、r of 、f Lf 、f Hf 、f BWf 。 实验注意事项:因两级放大电路放大倍数较高,为了调试方便,信号源要经过衰减后输出。

实验总结:分析总结负反馈对放大电路交流参数的影响。

实验名称:RC 串并联选频网络振荡器

实验目的:进一步理解RC 振荡器的组成及振荡条件,学习振荡器的测量调试方法

实验器材:RC 振荡器实验电路板、模拟电路实验箱、万用表、交流毫伏表、信号源、示波器。

实验地点:电子实验室 实验步骤:

一、测量静态工作点及电压放大倍数

断开RC 串并联网络,测量放大器的静态工作点及电压放大倍数u A 。(起振条件u A >3)

二、调试振荡波形、记录参数。

接通RC 串并联网络,用示波器监视输出电压U O ,调试Rf 至示波器显示适当的波形,读出频率,记录波形及频率、振幅。改变R 或C 的值,观察并记录频率变化情况。 三、观察幅频特性

将RC 网络与放大器断开,输入正弦信号并固定幅度约3V ,由低到高调节频率至输出电压U O = U Om ,记录此时的频率f 0。

实验总结:振荡器的振荡频率为RC

f π21

,与实际测量的振荡频率比较、与幅频特性

中的f 0比较并做分析。

模拟电子电路仿真和实测实验方案的设计实验报告111-副本

课程专题实验报告 (1) 课程名称:模拟电子技术基础 小组成员:涛,敏 学号:0,0 学院:信息工程学院 班级:电子12-1班 指导教师:房建东 成绩: 2014年5月25日

工业大学信息工程学院课程专题设计任务书(1)课程名称:模拟电子技术专业班级:电子12-1 指导教师(签名): 学生/学号:涛 0敏0

实验观察R B 、R C 等参数变化对晶体管共射放大电路放大倍数的影响 一、实验目的 1. 学会放大器静态工作点的调式方法和测量方法。 2.掌握放大器电压放大倍数的测试方法及R B 、R C 等参数对放大倍数的影响。 3. 熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1为电阻分压式工作点稳定单管放大器实验电路图。偏置电阻R B1、R B2组成分压电路,并在发射极中接有电阻R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号后,在放大器的输出端便可得到一个与输入信号相位相反、幅值被放大了的输出信号,从而实现了电压放大。 三、实验设备 1、 信号发生器 2、 双踪示波器 SS —7802 3、 交流毫伏表 V76 4、 模拟电路实验箱 TPE —A4 5、 万用表 VC9205 四、实验容 1.测量静态工作点 实验电路如图1所示,它的静态工作点估算方法为: U B ≈ 2 11B B CC B R R U R +? I E =E BE B R U U -≈Ic U CE = U CC -I C (R C +R E )

图1 晶体管放大电路实验电路图 实验中测量放大器的静态工作点,应在输入信号为零的情况下进行。 根据实验结果可用:I C ≈I E = E E R U 或I C = C C CC R U U U BE =U B -U E U CE =U C -U E 计算出放大器的静态工作点。 五.晶体管共射放大电路Multisim仿真 在Multisim中构建单管共射放大电路如图1(a)所示,电路中晶体管采用FMMT5179 (1)测量静态工作点 可在仿真电路中接入虚拟数字万用表,分别设置为直流电流表或直流电压 表,以便测量I BQ 、I CQ 和U CEQ ,如图所示。

模拟电路自主设计实验

姓名_____________________班级_____________________学号_____________________ 日期_____________节次______________成绩__________教师签字__________________ 哈尔滨工业大学模拟电路自主设计实验 实验名称:运算放大器在限幅电路中的应用 一、实验目的 1、深入了解运算放大器的放大作用和深度负反馈; 2、灵活运用运算放大器的多种应用; 二、总体技术路线 2.1 当输入信号电压进入某一范围内,其输出信号的电压不再跟随输入信号电压的变化。 串联限幅电路:当输入电压U i <0或U i为数值较小的正电压时,D1截止,运算放大器的输出电压U0=0;仅当输入电压U i>0且U i为数值大于或等于某一个的正电压U th时,D1才正偏导通,电路有输出,且U0跟随输入信号U i变化。 并联限幅电路:当输入信号U i较小时,输出电压U0也较小,D1和D2没有击穿,U0跟随输入信号U i变化而变化,传输系数为:A uf=-R1 /R2;当U i幅值增大,使U0的幅值增大,并使D1和D2击穿,输出U0的幅度保持+(U z+U D)值不变,电路进入限幅工作状态。 2.2绝对值电路 当输入电压U i>0,则运算放大器的输出电压U1,D1导通,D2截止,输出电压U0 =0;当输入电压U i <0,则运算放大器的输出电压U1 >0,D2导通,D1截止,输出电压U0 =-R1 U i/R2。并通过反向放大器将整流信号放大两倍,再增加一个同相加法器,让输入信号的另一极性电

压不经整流,而直接送到加法器,与来自整流电路的输出电压相加,便构成了绝对值电路。 三、实验电路图 1、串联限幅电路: 2、并联限幅电路:

实验一、电路模拟基础

实验一、电路模拟基础 概要 该实验包括用户基础界面,ADS文件的创建过程包括建立原理图、仿真控件、仿真、和数据显示等部分的内容。该实验还包括调谐与谐波平衡法仿真的一个简单例子。 目标 ●建立一个新的项目和原理图设计 ●设置并执行S参数模拟 ●显示模拟数据和储存 ●在模拟过程中调整电路参数 ●使用例子文件和节点名称 ●执行一个谐波平衡模拟 ●在数据显示区写一个等式 目录 1.运行ADS (2) 2.建立新项目 (3) 3.检查你的新项目内的文件 (5) 4.建立一个低通滤波器设计 (5) 5.设置S参数模拟 (6) 6.开始模拟并显示数据 (7) 7.储存数据窗口 (9) 8.调整滤波器电路 (10) 9.模拟一个RFIC的谐波平衡 (12) 10.增加一个线标签(节点名称),模拟,显示数据 (16)

步骤 1.运行ADS 在开始菜单中选择“Advanced Design System2005A → Advanced Design System”(见图一)。 图一、开始菜单中ADS 2005A的选项 用鼠标点击后出现初始化界面。 图二、ADS 2005初始化界面 随后,很快出现ADS主菜单。 图三、ADS主菜单

如果,你是第一次打开ADS,在打开主菜单之前还会出现下面的对话框。询问使用者希望做什么。 图四、询问询问使用者希望做什么的对话框 其中有创建新项目(Create a new project);打开一个已经存在的项目(Open a existing project);打开最近创建的项目(Open a recently used project)和打开例子项目(Open an example project)四个选项。你可以根据需要打开始当的选项。同样,在主菜单中也有相同功能的选项。如果,你在下次打开主菜单之前不出现该对话框,你可以在“Don’t display this dialog box again”选项前面的方框内打勾。 2.建立新项目 a.在主窗口,通过点击下拉菜单“File→New Project…”创建新项目。 图五、创建新项目对话框 其中,项目的名称的安装目录为ADS项目缺省目录对应的文件夹。(一般安装时缺省目录是C:\user\default,你可以修改,但是注意不能用中文名称或放到中文名称的目录中,因为那样在模拟时会引起错误)。在项目名称栏输入项目名称“lab1”。 对话框下面的项目技术文件主要用于设定单位。在微带线布局时有用,我们选择mil。

模拟电路实验报告.doc

模拟电路实验报告 实验题目:成绩:__________ 学生姓名:李发崇学号指导教师:陈志坚 学院名称:专业:年级: 实验时间:实验室: 一.实验目的: 1.熟悉电子器件和模拟电路试验箱; 2.掌握放大电路静态工作点的调试方法及其对放大电路性能的影 响; 3.学习测量放大电路Q点、A V、r i、r o的方法,了解公发射极电路特 性; 4.学习放大电路的动态性能。 二、实验仪器 1.示波器 2.信号发生器 3.数字万用表 三、预习要求 1.三极管及单管放大电路工作原理: 2.放大电路的静态和动态测量方法:

四.实验内容和步骤 1.按图连接好电路: (1)用万用表判断试验箱上三极管的好坏,并注意检查电解电容 C1,C2的极性和好坏。 (2)按图连接好电路,将Rp的阻值调到最大位置。(注:接线前先 测量电源+12V,关掉电源后再连接) 2.静态测量与调试 按图接好线,调整Rp,使得Ve=1.8V,计算并填表 心得体会:

3.动态研究 (一)、按图连接好电路 (二)将信号发生器的输入信号调到f=1kHz,幅值为500mVp,接至放大电路A点。观察Vi和V o端的波形,并比较相位。 (三)信号源频率不变,逐渐加大信号源输出幅度,观察V o不失真时的最大值,并填表: 基本结论及心得: Q点至关重要,找到Q点是实验的关键, (四)、保持Vi=5mVp不变,放大器接入负载R L,在改变Rc,R L数值的情况下测量,并将计算结果填入表中:

实验总结和体会: 输出电阻和输出电阻影响放大效果,输入电阻越大,输出电阻越小,放大效果越好。 (1)、输出电阻的阻值会影响放大电路的放大效果,阻值越大,放大的倍数也越大。 (2)、连在三极管集电极的电阻越大,电压的放大倍数越大。 (五)、Vi=5mVp,增大和减小Rp,观察V o波形变化,将结果填入表中: 实验总结和心得体会: 信号失真的时候找到合适Rp是产生输出较好信号关键。 (1)Rp只有在适合的位置,才能很好的放大输入信号,如果Rp阻值太大,会使信号失真,如果Rp阻值太小,则会使输入信号不能被

经典模拟、数字电路设计

实验一 单级阻容耦合放大器设计 一、设计任务书 1.已知条件 电源电压V cc =+12V,信号源U s =10mV,内阻R s =600Ω,负载R L =2k Ω。 2.主要技术指标 输入电阻R i >2k Ω,频率响应20Hz ~500kHz,输出电压U o ≥0.3V,输出电阻R O <5k Ω,电路工作稳定。 3.实验用仪器 双踪示波器一台,信号发生器一台,直流稳压电源一台,万用表一台。 二、电路设计 1.电路形式讨论 由于电压增益A V =U O /U S =30,采用一级放大电路即可,要求电路工作稳定,采用分压式电流负反馈偏置电路,输入电阻比较大和频率响应比较宽,引入一定的串联负反馈,电路如图。 2.具体电路设计 (1)静态工作点选择 I CQ =2mA,V BQ =3V (选择硅管) (2)晶体管的选择 78) (2 =+=L s i V R R R A β取100, U CEO >V CC =12V,I CM >2I CQ =4mA, P CM >I CQ V CC =24mW, f T >1.5βf H =75MHz 选择9014:U CEO >20V,I CM >100mA, P CM >300mW,f T >80MHz,Cb'c<2.5pF (3)元件参数的计算 R E =(V BQ -0.7)/I CQ ≈1.2k Ω I BQ =I CQ /β=20μA 则 Ω== k I V R BQ BQ B 15102,R B2=15k Ω Ω=-= k I V V R BQ BQ CC B 45101,取标称值47k Ω Ω≈++=k mA I mV r EQ be 6.1) (26) 1(300β, 取R F =10Ω.则Ω=++=k R r R F be i 16.2)1('β Ω==k R R R R i B B i 12.2////'21,取A V =40,

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

Multisim模拟电路仿真实验

实验19 Multisim 数字电路仿真实验 1.实验目的 用Multisim 的仿真软件对数字电路进行仿真研究。 2.实验内容 实验19.1 交通灯报警电路仿真 交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下 列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯 同时指示,而其他情况下均属于故障状态。出故障时报警灯亮。 设字母R 、Y 、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮, 低电平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表 19.1所示。 逻辑表达式为:RY RG G Y R Z ++= 若用与非门实现,则表达式可化为:RY RG G Y R Z ??= Multisim 仿真设计图如图19.1所示: 图19.1的电路图中分别用开关A 、B 、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1的亮暗模拟报警灯的亮暗。另外用了一个5V 直流电源、一个7400四2输入与非门、一个7404六反相器、一个7420双4输入与非门、一个500 表19.1 LED_red LED1 图19.1

欧姆电阻。 在模拟实验中可以看出,当开关A、B、C中只有一个拨向高电平,以及B、C同时拨向高电平而A拨向低电平时报警灯不亮,其余情况下报警灯均亮。 实验19.2数字频率计电路仿真 数字频率计电路(实验13.3)的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2位数码管,则测量的最大频率是99Hz。 数字频率计电路Multisim仿真设计图如图19.2所示。其电路结构是: 用二片74LS90(U1和U2)组成BCD码100进制计数器,二个数码管U3和U4分别显示十位数和个位数。四D触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0信号。信号发生器XFG1产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。三输入与非门7410(U6A)为控制闸门。 运行后该频率计进行如下自动循环测量: 计数1秒→显示3秒→清零1秒→…… 改变被测脉冲频率,重新运行。

模拟电子技术课程设计报告

电子技术 课程设计报告 班级:电科1402 姓名:宋晓晨 学号:3140504043 指导教师:汪洋 2015 至2016 学年第二学期开课时 间:

目录 一、课程设计的目的 (3) 二、课程设计的要求 (3) 三、课程设计的内容 (6) 3.1.步进电动机三相六状态控制逻辑电路 (1) 3.2.具有校时功能的数字闹钟 3.3.洗衣机控制器 3.4.音频小信号前置放大电路 3.5.信号发生器设计 3.6.二阶RC有源滤波器设计 四、总结 (24) 五、参考文献 (25)

一、课程设计的目的 电子技术课程设计是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后的一门实践性训练课程,旨在通过一周实践,理解电子设计基本原理,完整实现规定选题项目设计,考查学生运用电子技术基础理论完成综合设计的能力。 二、课程设计的要求 2.1、步进电动机三相六状态控制逻辑电路设计一个控制步进电机用的逻辑电路,使其工作于如图1所示的三相六拍状态。如果用“1”表示线圈通电,“0”表示线圈断电,设正转时控制输入端M=1,反转时M=0,则3个线圈ABC的状态转换图如图 2.2、具有校时功能的数字闹钟要求: (1)数字钟以一昼夜24小时为一计时周期;(2)有“时”、“分”数字显示,“秒”信号。驱动LED显示光点,将“时”、“分”隔开,显示情况如图3所示;(3)具校时功能,即:在需要时,用户可将数字钟拨至标准时间或其它所需时间;(4)在“0~23”小时内任意小时、任意分钟可有控制地起闹,每次起闹时间为3~5秒钟,或按使用者需要调定。

2.3、设计一个洗衣机控制器要求洗衣机实现如下运转(1)定时启动—〉正转20秒—〉暂停10秒—〉反转20秒—〉暂停10秒—〉定时不到,重复上面过程。(2)若定时到,则停止,并发出音响信号。(3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由开始信号开始。(4)三只LED灯表示正转、反转、暂停三个状态。 2.4、音频小信号前置放大电路设计 设计音频小信号前置放大电路,并用合适软件模拟,。具体要求如下:(1)放大倍数Au≥1000; (2)通频带20Hz~20KHz; (3)放大电路的输入电阻RI≥1M,输出电阻RO=600 (4)绘制频响扫描曲线。 说明:设计方案和器件根据题目要求自行选择,但要求在通用器件范围内。 测试条件:技术指标在输入正弦波信号峰峰值Vpp=10mv的条件进行测试(输入输出电阻通过设计方案保证)。 2.5、信号发生器设计 设计一个能够输出正弦波、三角波和矩形波的信号源电路,电路形式自行选择。输出信号的频率可通过开关进行设定,具体要求如下:1输出信号的频率范围为100Hz~2kHz,频率稳定度较高,2步进为100Hz。要求输出是正

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

模拟电子技术课程设计报告

课程设计报告 题目方波、三角波、正弦波信号 发生器设计 课程名称模拟电子技术课程设计 院部名称机电工程学院 专业10自动化 班级10自动化 学生姓名吉钰源 学号1004104001 课程设计地点 C206 课程设计学时 1周 指导教师赵国树 金陵科技学院教务处制成绩

目录 1、绪论 (3) 1.1相关背景知识 (3) 1.2课程设计目的 (3) 1.3课程设计的任务 (3) 1.4课程设计的技术指标 (3) 2、信号发生器的基本原理 (4) 2.1总体设计思路 (4) 2.2原理框图 (4) 3、各组成部分的工作原理 (5) 3.1 正弦波产生电路 (5) 3.1.1正弦波产生电路 (5) 3.1.2正弦波产生电路的工作原理 (6) 3.2 正弦波到方波转换电路 (7) 3.2.1正弦波到方波转换电路图 (7) 3.2.2正弦波到方波转换电路的工作原理 (8) 3.3 方波到三角波转换电路 (9) 3.3.1方波到三角波转换电路图 (9) 3.3.2方波到三角波转换电路的工作原理 (10) 4、电路仿真结果 (11) 4.1正弦波产生电路的仿真结果 (11) 4.2 正弦波到方波转换电路的仿真结果 (11) 4.3方波到三角波转换电路的仿真结果 (13) 5、电路调试结果 (13) 5.1正弦波产生电路的调试结果 (13) 5.2正弦波到方波转换电路的调试结果 (14) 5.3方波到三角波转换电路的调试结果 (14) 6、设计结果分析与总结 (15)

1、绪论 1.1相关背景知识 由于物理学的重大突破,电子技术在20世纪取得了惊人的进步。特别是近50年来,微电子技术和其他高技术的飞速发展,致使农业、工业、科技和国防等领域发生了令人瞩目的变革。与此同时,电子技术也正在改变着人们日常生活。在电子技术中,信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,可以用于生产测试、仪器维修和实验室,还广泛使用在其它科技领域,如医学、教育、化学、通讯、地球物理学、工业控制、军事和宇航等。它是一种不可缺少的通用信号源。 1.2课程设计目的 通过本次课程设计所要达到的目的是:增进自己对模拟集成电路方面所学知识的理解,提高自己在模拟集成电路应用方面的技能,树立严谨的科学作风,培养自身综合运用理论知识解决实际问题的能力。通过电路设计初步掌握工程设计方法,逐步熟悉开展科学实践的程序和方法,为后续课程的学习和今后从事的实际工作提供引导性的背景知识,打下必要的基础。 1.3课程设计的任务 ①设计一个方波、三角波、正弦波函数发生器; ②能同时输出一定频率一定幅度的三种波形:正弦波、方波和三角波; ③用±12V电源供电; 先对课程设计任务进行分析,及根据参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。然后运用仿真软件Multisim对电路进行仿真,观察效果并与课题要求的性能指标作对比。仿真成功后,用实物搭建电路,进行调试,观测示波器输出的波形。 1.4课程设计的技术指标 ①设计、组装、调试信号发生器; ②输出波形:正弦波、方波、三角波; ③频率范围在10Hz~10000Hz范围内可调; ④比较器用LM339,运算放大器用LM324,双向稳压管用两个稳压管代替。

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

福州大学模拟电路课程设计报告

模拟电路课程设计报告 设计课题:程控放大器设计 班级:电子科学与技术 姓名:1111111 学号:1111111 指导老师:杨 设计时间:2015年6月24日~26日 学院:物理与信息工程学院

目录 一、摘要及其设计目的 (3) 二、设计任务和要求 (4) 三、方案论证及设计方案 (5) 四、单元电路的设计、元器件选择和参数计算 (8) 五、总体电路图,电路的工作原理 (10) 六、组装与调试,波形电路实际图及数据 (12) 七、所用元器件及其介绍 (16) 八、课程设计心得与体会 (18)

一、摘要 本次课程设计的目的是通过设计与实验,了解实现程控放大器的方法,进一步理解设计方案与设计理念,扩展设计思路与视野。程控放大器的组成结构:1.利用3个运放OP07构成的耳机放大电路;2.芯片CD4051八位的选择器通过片选端的控制调节R1电阻值的大小,从而改变放大倍数。实现最大放大60db的目的。 A summary The purpose of this course design is to design and experiment, to understand the method of program control amplifier, to further understand the design scheme and design concept, to expand the design idea and the visual field. The structure of programmable amplifier: 1. The three operational amplifier OP07 constitute the headset amplifier circuit; chip CD4051 eight selector through the chip selection terminal control regulating resistor R1 value of size, thus changing the magnification. The purpose of achieving maximum amplification of 60db.

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

模拟电子技术课程设计报告

课程设计 重庆科技学院 模拟电子技术课程设计成果 院(系):_电子信息工程学院_班级:自普本2008— 01 学生姓名:_袁小敏___________ 学号:_2008440910 _________ 设计地点(单位)1404 _________________ 设计题目: ___________________________________________ 完成日期:2010 年7月9 日 指导教师评语:__________________________________________ 成绩(五级记分制): _______________ 教师签名: __________________________

一、........................................................................ 设计任务和指标要求. (3) 二、............................................................ 设计框图及整机概述3 三、................................................ 各单元电路的设计方案及原理说明4 四、........................................................ 仿真调试过程及结果分析7 五、.................................................... 设计、安装及调试中的体会8 六、.................................................... 对本次课程设计的意见及建议9 七、...................................................................... 参考资料10 八、.......................................................................... 附录11 附件1 整机逻辑电路图 (11) 附件2 元器件清单 (12)

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

模拟电路课程设计报告完成

模拟电路课程设计报告设计课题:语音滤波器的设计 专业班级:08电信(本) 学生:钟武峰 学号:080802049 指导教师:曾祥华 设计时间:20010年 语音滤波器的设计

一、设计任务与要求 ①分别用压控电压源和无限增益多路反馈二种方法设计电路; ②抑制50Hz工频干扰信号 ③压控电压源的品质因素Q=1,无限增益多路反馈的品质因素Q=2,增益AV >1; ④用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源(±12V)。 二、方案设计与论证 由设计要求可知,此次设计的主要目的是设计出能抑制50HZ工频信号的滤波电路。即在输入端加入不同频率的语音信号后,在50HZ左右的信号将能受到抑制,而超出这个抑制围的信号将能够通过,所以应选用带阻滤波器实现功能。其次,应分别用压控电压源和无限增益多路反馈两种方法进行设计。其次用桥式整流电容滤波集成稳压块电路设计电路所需的稳定的正负直流电源,联系课本,即可用桥式整流电路和电容滤波电路和稳压管稳压电路构成。 一,电流源设计: 要求输出V ± 12 ()1电流源设计思路: 1)电网供电电压交流220V(有效值)50Hz,要获得低压直流输出,首先必须采用电源变压器将电网电压降低获得所需要交流电压。 2)降压后的交流电压,通过整流电路变成单向直流电,但其幅度变化大(即脉动大)。 3)脉动大的直流电压须经过滤波电路变成平滑,脉动小的直流电,即将交流成份滤掉,保留其直流成份。 4)滤波后的直流电压,再通过稳压电路稳压,便可得到基本不受外界影响的稳定直流电压输出,供给负载RL。 由设计要求可知,设计中的整流部分,应该也必须用桥式整流电路。

相关主题
文本预览
相关文档 最新文档