当前位置:文档之家› 交通信号控制灯课程设计

交通信号控制灯课程设计

交通信号控制灯课程设计
交通信号控制灯课程设计

交通灯控制及实现

1.实验目的

a)通过课程设计来初步掌握产品各模块设计过程,了解各模块的功

能实现及连接;

b)学习运用Altium Designer来实现VHDL语言的编写及仿真过程,

以及下载实现过程;

c)将理论知识通过课程设计与实践相结合,进一步巩固自己所学的

理论知识。

2.总体方案分析及选择

经过综合选择,从交通灯、抢答器、洗衣机等几个题目中选择了难度中上且最为经典的交通灯,交通灯涉及到分频器、计数器、LCD 显示等模块,可以有效地练习自己的综合设计能力。

3.基本功能要求

交通灯设计为东西(EW)与南北(SN)两个方向,基本功能实现是:东西方向(红灯35s 绿灯30s 黄灯30s) 同时南北方向(绿灯30s 黄灯5s 红灯35s),这样循环实现,其中伴有特殊状态(交通管制:红灯全亮、交通通畅:黄灯闪烁)。

4.各模块功能

a)分频器模块:;

本模块运用AD6现有资源,由晶振产生的10MHZ的基准时钟和

分频模块将基准时钟分频,实现1Hz脉冲的输出,为后续模块所

需要的1Hz脉冲计时模块做准备。其VHDL语言如下:library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port( clk_in : in std_logic;

rst : in std_logic;

--div : in std_logic_vector(23 downto 0);

clk_out: out std_logic

);

end fenpin;

architecture struct of fenpin is

signal clk : std_logic;

signal counter : std_logic_vector(23 downto 0);

begin

clk_out <= clk;

process (clk_in)

begin

if (clk_in = '1' and clk_in'event) then

if (rst = '1') then

clk <= '0';

counter <= X"000000";

else

if(counter = X"989680") then

clk <= not clk;

COUNTER <= X"000000";

else

counter <= counter + 1;

end if;

end if;

end if;

end process;

end struct;

其仿真图为:

由此语言生成的器件为:

b)交通灯切换模块:利用计数实现对交通灯颜色的切换

利用上一模块的1Hz脉冲对该模块进行计时,由中间变量counter 计数,实现交通灯按时间循环显示,其VHDL语言如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity dingshi is

port(dsh_in :in std_logic;

rst :in std_logic;

ew_r :out std_logic;

ew_y :out std_logic;

ew_g :out std_logic;

ns_r :out std_logic;

ns_y :out std_logic;

ns_g :out std_logic

);

end dingshi;

architecture art of dingshi is

signal counter : std_logic_vector(7 downto 0); signal ewr :std_logic;

signal ewy :std_logic;

signal ewg :std_logic;

signal nsr :std_logic;

signal nsy :std_logic;

signal nsg :std_logic;

begin

ew_r <= ewr;

ew_y <= ewy;

ew_g <= ewg;

ns_r <= nsr;

ns_y <= nsy;

ns_g <= nsg;

process(dsh_in)

begin

if(dsh_in ='1' and dsh_in'event) then

if(rst = '1') then

counter <= "00000000";

ewr <= '1';

ewg <= '0';

ewy <= '0';

nsr <= '0';

nsy <= '0';

nsg <= '1';

else

counter <= counter + 1;

if(counter = "00011110") then

ewr <= '1';

ewg <= '0';

ewy <= '0';

nsr <= '0';

nsy <= '1';

nsg <= '0';

elsif(counter = "00100011") then

ewr <= '0';

ewg <= '1';

ewy <= '0';

nsr <= '1';

nsy <= '0';

nsg <= '0';

elsif(counter = "01000001") then

ewr <= '0';

ewg <= '0';

ewy <= '1';

nsr <= '1';

nsy <= '0';

nsg <= '0';

elsif(counter = "01000110") then

ewr <= '1';

ewg <= '0';

ewy <= '0';

nsr <= '0';

nsy <= '0';

nsg <= '1';

counter <= "00000000";

end if;

end if;

end if;

end process;

end art;

其仿真图为:

语言生成的器件图为:

c)BCD转换模块:实现用0000-1001来计数

利用此模块将所计数时间转换为两个4位BCD码显示,以便于下一模块接LCD的显示,其VHDL语言如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity bcd is

port(dsh_in :in std_logic;

rst :in std_logic;

ew_h,sn_h :out std_logic_vector(7 downto 4);

ew_l,sn_l :out std_logic_vector(3 downto 0) );

end bcd;

architecture art of bcd is

signal counter : std_logic_vector(7 downto 0); signal ewh,snh :std_logic_vector(7 downto 4); signal ewl,snl :std_logic_vector(3 downto 0); begin

ew_h <= ewh;

ew_l <= ewl;

sn_h <= snh;

sn_l <= snl;

process(dsh_in)

begin

if(dsh_in ='1' and dsh_in'event) then

if(rst = '1') then

counter <= "00000000";

ewh <= "0011";

ewl <= "0101";

snh <= "0011";

snl <= "0000";

else

counter <= counter + 1;

if(counter = "00011110") then

snl <= "0100";

snh <= "0000";

ewl <= ewl - 1;

elsif(counter = "00100011") then

ewh <= "0010";

ewl <= "1001";

snh <= "0011";

snl <= "0100";

elsif(counter = "01000001") then

ewl <= "0100";

ewh <= "0000";

snl <= snl - 1;

elsif(counter = "01000110") then

ewl <= "0100";

ewh <= "0011";

snl <= "1001";

snh <= "0010";

counter <= "00000001";

else if(ewl = "0000") then

ewl <= "1001";

ewh <= ewh - 1;

else

ewl <= ewl - 1;

end if;

if(snl = "0000") then

snl <= "1001";

snh <= snh - 1;

else

snl <= snl - 1;

end if;

end if;

end if;

end if;

end process;

end art;

仿真图为:

语言生成的器件为:

d)LCD显示模块:将上面接收到的BCD转换为ASIIC码来显示;

该模块主要是做与LCD的驱动程序,使其正常的显示所要显示的内容。其VHDL语言如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity JTDLCD is

port (CPIN,R,BUSY :IN STD_LOGIC;

EW,SN :IN STD_LOGIC_VECTOR(7 DOWNTO 0);

EWRYG,SNRYG : IN STD_LOGIC_VECTOR(2 DOWNTO 0);

CLK,RST ,STROBE,OUTLINE : OUT STD_LOGIC;

DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

ADDR : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

);

end entity ;

architecture JGT of JTDLCD is

TYPE STATES IS (S0,S1,S2,S3,S4);

SIGNAL S: STATES;

SIGNAL LCDPT : INTEGER RANGE 0 TO 10;

SIGNAL CPCT : INTEGER RANGE 0 TO 65535;

SIGNAL CP: STD_LOGIC;

SIGNAL ASCEW,ASCSN : STD_LOGIC_VECTOR(7 DOWNTO 0); begin

PROCESS(CPIN,R)

BEGIN

CLK<=CPIN;

IF R='1' THEN

CPCT<=65535;

ELSIF CPIN='1' AND CPIN 'EVENT THEN

IF CPCT=0 THEN

CPCT<=65535;CP<=NOT CP;

ELSE

CPCT<=CPCT-1;

END IF;

END IF;

END PROCESS;

PROCESS(CP,R)

BEGIN

IF R='1' THEN

S<=S0;LCDPT<=0;RST<='1';

ELSIF CP='1' AND CP 'EVENT THEN

CASE S IS

WHEN S0=> S<=S1;LCDPT<=0;RST<='1';

WHEN S1=> RST<='0';STROBE<='0';

IF BUSY='0' THEN

LCDPT<=LCDPT+1;

IF LCDPT=5 THEN

S<=S3;

ELSE

S<=S2;

END IF;

END IF;

WHEN S2=> S<=S1;STROBE<='1';

WHEN S3=> STROBE<='0';

IF BUSY='0' THEN

IF LCDPT=10 THEN

LCDPT<=5;

ELSE

LCDPT<=LCDPT+1;

END IF;

S<=S4;

END IF;

WHEN S4=> STROBE<='1';S<=S3;

WHEN OTHERS=> NULL;

END CASE;

END IF;

END PROCESS;

PROCESS(LCDPT)

BEGIN

CASE LCDPT IS

WHEN 0 => NULL;

WHEN 1=> DATA<="01000101";ADDR<="0101";OUTLINE<='0';

WHEN 2=> DATA<="01010111";ADDR<="0110";OUTLINE<='0';

WHEN 3=> DATA<="01010011";ADDR<="1001";OUTLINE<='0';

WHEN 4=> DATA<="01001110";ADDR<="1010";OUTLINE<='0';

WHEN 5=>DATA<="0011"&EW(7 DOWNTO 4);ADDR<="0101";OUTLINE<='1'; WHEN 6=>DATA<="0011"&EW(3 DOWNTO 0);ADDR<="0110";OUTLINE<='1'; WHEN 7=>DATA<=ASCEW;ADDR<="0100";OUTLINE<='1';

WHEN 8=>DATA<="0011"&SN(7 DOWNTO 4);ADDR<="1001";OUTLINE<='1'; WHEN 9=>DATA<="0011"&SN(3 DOWNTO 0);ADDR<="1010";OUTLINE<='1'; WHEN 10=>DATA<=ASCSN;ADDR<="1000";OUTLINE<='1';

WHEN OTHERS=> NULL;

END CASE;

END PROCESS;

PROCESS(EWRYG)

BEGIN

CASE EWRYG IS

WHEN"100" => ASCEW<="01010010";

WHEN"010" => ASCEW<="01011001";

WHEN"001" => ASCEW<="01000111";

WHEN OTHERS=> NULL;

END CASE;

END PROCESS;

PROCESS(SNRYG)

BEGIN

CASE SNRYG IS

WHEN"100" => ASCSN<="01010010";

WHEN"010" => ASCSN<="01011001";

WHEN"001" => ASCSN<="01000111";

WHEN OTHERS=> NULL;

END CASE;

END PROCESS;

end architecture JGT;

由此生成的器件图为:

上述四个模块即实现了交通灯功能的基本功能,即做到红绿灯的循环显示,下面是特殊功能部分的实现:

A交通畅通:黄灯闪烁

B交通管制:红灯全亮

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通信号灯课程设计

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部) 班级 姓名 学号 指导老师(签字)

简易交通信号灯控制器 一.课题名称:简易交通信号灯控制器 技术要求:1.定周控制:主干道绿灯45秒,支干道绿灯25秒; 2.每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; 3.分别用红、黄、绿色发光二极管表示信号灯。 *4.设计计时显示电路 二.摘要 随着经济的发展和人民生活水平的提高,交通运输业在人们的生活中扮演着越来越重要的角色。而交通信号灯的出现很好地规范了人们的出行秩序,提高了人们的出行效率,大大减少了交通事故的发生。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规范人们的出行秩序。 本次课程设计当中,我组采用数字电路对交通灯控制系统进行设计,并对提出的三个方案进行论证,最终确定方案进行设计,并使其实现主干道绿灯亮45秒、支干道绿灯亮25秒、并且在由绿灯变为红灯时有5秒时间作为过渡的技术要求,实现简易交通信号灯的功能。 三.总体设计方案论证及选择 针对本次课程设计,我们提出了以下三种方案: 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=t1+t2+t3+t4=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器控制电路,同时用555定时器产生周期为1S的时钟脉冲,使计数器的周期为80*1S=80S。 电源接通时,计数器清零,此时主干道绿灯和支干道红灯点亮,其余灯关灭;此后,经过组合逻辑电路使得当计数器的45个脉冲(45S)、50个脉冲(50S)、75个脉冲(75S)和80个脉冲(80S)来到时,分别控制信号灯状态改变,达到预计要求。 方案三:选择74LS161 型一位十六进制计数器,其共有十六个状态。用555定时器产生周期为5S的时钟脉冲,所以对应计数器循环周期为16*5S=80S,并对应信号灯的80S工作循环。然后将计数器的四个输出信号用译码器译出六个输出信号,分别控制六个信号灯。 当接通电源后,计数器清零,此时主干道绿灯和支干道红灯置1点亮,其他灯置0关灭;当第9个脉冲(45S)来到时,主干道黄灯和支干道红灯置1点亮,其他灯置0关灭;当第10个脉冲(50S)来到时,主干道红灯和支干道绿灯置1点亮,其他灯置0关灭;当第15个脉冲(75S)来到时,主干道红灯和支干道黄灯置1点亮,其他灯置0关灭;当第16个脉冲(80S)来到时,主干道绿灯和支干道红灯置1点亮,其他灯置0关灭,即交通信号灯的状态进入了下一个循环。

交通信号灯控制系统

株洲师范高等专科学校物理与电子工程系毕业论文 交通信号灯控制系统 姓名:汤知路 指导老师:肖利君 专业:应用电子技术 班级:07级应电班 学号:04207109 时间:2010-5-5至2010-5-28

摘要 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。本设计主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。应用的主要芯片有74LS163,74LS153,3-8译码器,555定时器电路等组成。 关键字:交通控制、交通灯、时间发生器、555定时器 Abstract With the development of society and economy, urban traffic problems and cause the attention of people. People, vehicles and road, the relationship of traffic management has become an important problem to be solved. Urban traffic control system is designed for urban traffic data monitoring and control traffic lights, traffic persuation computer integrated management system, it is the modern urban traffic control system is one of the most important parts of it. Cross the road traffic lights control is the key of traffic safety and roads. This design is mainly by the controller, timer and decoder and pulse signal generator, etc. Second is the system of pulse generator timer and standard of the clock signal controller, two groups of decoder output signal control signal, the driver circuit, drive signal after working controller is the main part of the system, by which control the timer and decoder of work. The main chip has 74LS163 application, 3-8, 74LS153 decoder, 555 timing circuits, etc.

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

交通灯单片机课程设计

1 序言 交通灯的形成 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两色旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。我们设计的单片机控制交通灯就是基于信号灯。 技术指示 设计一个十字路口(方向为东西南北四个方向)的交通灯控制电路,每条道路上各配有一组红、黄、绿交通信号灯,其中红灯亮,表示该道路禁止通行;黄灯亮表示

实训-交通信号灯控制系统

交通信号灯控制系统 重点内容: ●LED显示的驱动电路设计和LED显示输出扫描程序 ●按键输入电路和按键扫描程序 ●时间中断的使用。 一、实例说明 有如图所示的街区十字路口,需要为十字路口设计一个交通灯控制系统,该系统的要求如下:东南西北每个方向各有一个红绿灯组,每个红绿灯组包含红黄绿三种颜色的信号灯。 ●每天的23:00~次日凌晨的6:00,由于车流量较小,为了节省电能各个方向的红绿 灯出于休息状态(只亮黄灯)。 ●每天的6:00~23:00,红绿灯出于工作状态,两个互相垂直方向的绿灯交替点亮来 控制交通。交通灯工作状态过程如后所示,在某一个时间段东南方向红灯亮,西北方向绿灯亮;经过一定的时间后,西北方向该为黄灯闪烁,此时东南方向保持红灯; 西北方向红灯闪烁5秒后转为红灯,此时东南方向变为绿灯。依此东南方向的红绿灯和西北方向的红绿灯循环往复。

交通信号灯控制系统提供了一个控制面板,交警可以通过该面板可以调整红灯和绿灯亮的时间间隔、控制交通信号灯控制系统的开关等,从而达到控制交通流量的目的。交通信号灯控制系统的控制面板如下图所示,该面板共有6个LED,每3个LED 为一组,用于显示交通信号灯的点亮时长(单位为秒);面板上共有5个按键,用于控制交通灯控制系统的点亮时长和系统的开关。

二、硬件电路设计 1、LED输出电路 ●本案例中共有6个LED,为了充分利用ARM微处理器的IO资源,我们采用扫描的 方式。 ●LED的输出电路如上图所示,为了使电路比较清晰,这里只画出了其中两个LED。 每个七段码LED的a~g端口是并联,分别连接LPC2131的P1.19、P1.20、P1.21、P1.22、P1.23、P1.24和P1.25。而gnd端口各由一个管脚控制,6个七段码LED的gnd端分别连接LPC2131的P0.0、P0.1、P0.2、P0.3、P0.4和P0.5。当需要点亮其中某一个七段码LED时,将对应的gnd端口电平拉低,a~g端口根据需要显示的数字给不同的电平。这种连接方式在某一特定时刻只能点亮其中一个七段码LED,而其他的七段码LED处于熄灭状态。 ●为了让他们看上去是同时点亮并且能够显示不同的数值,我们利用人眼的视觉暂留 对他们进行扫描,在一个比较短的始终周期内(0.01s左右)轮流点亮6个七段码LED。 2、按键电路 ●本案例中的共有5个按键,如下图的控制面板所示,其中一个按键用来控制交通灯 控制系统的开关,而其他四个按键用来调整红灯和绿灯的持续时间 ●按键电路如下图所示,当没有任何按键被按下时,所有与按键连接的管脚为高电平;

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯课程设计

交通信号灯控制系统的设计

摘要 摘要:交通信号灯是城市交通有序、安全、快速运行的重要保障,而保障交通信号灯正常工作就成了保障交通有序、安全、快速运行的关键。为此,设计交通灯控制电路,配以七段共阴极数码管显示时间的显示模块,交通灯显示模块,调时模块,实现根据实际车流量通过设置红、绿灯燃亮时间以及双位数码管显示倒计时,三种颜色灯交替点亮以及紧急情况下的中断处理功能。本系统性价比高,实用性强,操作简单,功能全面。 关键词:计数器;交通灯;控制电路 Abstract

Traffic lights in urban traffic in an orderly, safe and an important guarantee for fast running, and the protection of traffic lights to protect the normal work of the traffic has become

orderly, safe, fast running key. To this end, the use of single-chip device designed as the central traffic light control circuit, with a total of cathode seventh time the digital display module, the traffic light display module, transfer module, crystal oscillator circuit, reset circuit and chip set red, green and double-time to kindle a digital countdown display, The turn of the three colors of lights and emergency lighting in case of interruption of processing. The system cost-effective, practical, simple, full-featured. Key words: counter;traffic light;control circuit 目录 摘要--------------------------------------------------------------------1 ABSTRACT------------------------------------------------------------------2 第1章交通信号灯的设计目的以及要求----------------------------------4 第2章设计原理分析----------------------------------------------------6 第3章主控制器--------------------------------------------------------9

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

课程设计交通信号灯汇总

课程设计说明书(2012 /2013 学年第 2 学期) 课程名称: 题目:交通信号灯 专业班级:电气一班 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2013年7 月3 日 1、课程设计目的 (3)

2、课程设计软件部分 (3) 2.1设计内容及要求 (3) 2.1.1课程设计内容 (3) 2.1.2课程设计要求 (4) 2.2系统分析 (4) 2.3系统设计 (4) 3、课程设计硬件部分 (5) 3.1方案设计 (5) 3.2单元电路设计 (5) 3.2.1秒脉冲发生器 (5) 3.2.2计数电路的设计 (6) 3.2.3控制电路的设计 (7) 3.2.4显示电路的设计 (7) 3.2.5数码管显示的设计 (8) 3.2.6设计总原理图 (9) 3.3系统调试 (10) 4、课程设计总结 (10) 5、参考文献 (11) 1、课程设计目的

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 2、课程设计软件部分 2.1设计内容及要求 2.1.1课程设计内容 A满足顺序工作流程:南北绿灯亮、东西红灯亮,占20S,南北黄灯亮、东西红灯亮,占4S,南北红灯亮、东西绿灯亮,占20S,南北红灯亮、东西黄灯亮,占4S。 B他们的工作方式,有些必须是并行进行的。南北绿,东西红。 南北黄,东西红。南北红,东西绿。南北红,东西黄。 C十字路口要有数字显示,作为事件提示,一边人们直接的把握事件。 D可以手动调整和自动控制,夜间为黄灯闪耀。 E满足两个方向的工作时序:既东西方向亮红灯事件应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 F倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机。 G信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求 2.1.2课程设计要求 A单电源5V供电 B南北、东西干道轮流通行由L E D显示,计时又数码管控制 C实现功能所用的器件的成本低,数量少为最佳

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

相关主题
文本预览
相关文档 最新文档