当前位置:文档之家› 数电课程设计——简易交通灯控制逻辑电路

数电课程设计——简易交通灯控制逻辑电路

数电课程设计——简易交通灯控制逻辑电路
数电课程设计——简易交通灯控制逻辑电路

简易交通灯控制逻辑电路设计

一、设计任务与要求

要求实现逻辑功能,在1-3状态循环。

1、东西方向绿灯亮,南北方向红灯亮,时间15s;

2、东西方向与南北方向黄灯亮,时间5s;

3、南北方向绿灯亮,东西方向红灯亮,时间l0s;

4、如果发生紧急事件,可以手动控制四个方向红灯全亮。

二、方案设计与论证

1、分解任务要求

任务要求实际上就是4个状态,不妨设:

S1、东西方向绿灯亮,南北方向红灯亮,时间15s;

S2、东西方向与南北方向黄灯亮,时间5s;

S3、南北方向绿灯亮,东西方向红灯亮,时间l0s;

S4、如果发生紧急事件,可以手动控制四个方向红灯全亮。

【表1】

主电路状态与指示灯状态转换

注:R,G,B=红,绿,黄灯。

根据【表1】可知,设计电路只需要5组输出端控制指示灯,指示灯都是以2个或4个一组。总计需要1234=?个灯。 2、输出指示灯状态设计(显示电路)

【图1】

显示电路设计▲

3、主电路设计

①、主电路实现S1→S2→S3状态的转换,

↑ ↓

②、另外可以在任何一个状态进入S4,并能恢复正常工作状态。 实现①、②可以用触发器,也可以用锁存器或使能电路。 ③、实现S1=15S ,S2=5S ,S3=10S 方案一

①、S1-S3使用2个SR 锁存器,设置00,01,10三个状态。

②、S4使用触发器,当出现紧急情况,触发器由“0”进入S4状态“1”后,在解除紧急时,恢复“0”,进入S1状态。

③、使用4个JK 触发器,实现16位计数。

方案二

①、S1-S3使用2个7473替代的T触发器。

【图2】

1个7473替代的T触发器▲

JK触发器包含SR触发器和T触发器的功能,J=K=T,则得到T触发器。

②、S4使用或门、非门实现,从【表1】可知:

=

R

S+

S

1S

1

4

S?

=(不能出现红绿同时亮的情况)

G

S

1S

4

1

S?

=(不能出现红黄同时亮的情况)

Y

2S

4

S

2

=

S+

R

4

3S

3

S

S?

=(不能出现红绿同时亮的情况)

G

3

S

3S

4

③、使用74192同步可逆10进制计数器(8421码)2个

方案对比

【表2】

综合考虑,为使电路简化、运行稳定,选用方案二。

三、单元电路设计与参数计算

【图3】

总体模块设计▲

1、时钟控制系统:使用上升时序,个位、十位两片74192。进位关联使用个位TCU =十位UP 实现(Terminal Count Up (Carry) Line )。数据状态通过判断条件进入选择器74153。判断条件成立后执行清零。

2、时序Sx 控制:使用7473改装的TFF2个,实现S1→S2→S3状态的转换。

↑ ↓

3、判断时钟、Sx :判断74192和7473的状态,满足条件输出74192的清零

使能和7473的CLK信号。

4、翻译Sx-LED,翻译S1、S2、S3对应LED的5个状态:

,

,

2

3

1

1

,

,

S3

R

S

G

S

R

Y

G

S

S

5、输出调整电路,令紧急电平开关控制LED的状态。

四、总电路工作原理及元器件清单

1.总原理图

【图4】

2.电路完整工作过程描述(总体工作原理)

【图5】

数据线分配▲

数据线连接

74192工作状态(个位)

02Q Q B =

注:不填=0

【表5】

74192(2)工作状态(十位)

0Q C =

注:不填=0

7473工作状态(双T 触发器)

用JKFF 构成TFF

??

?===⊕===011110000Q T J K Q Q T J K 则有:()

???⊕=⊕⊕=1

011

100**Q Q Q Q Q Q Q 使2个T 触发器的4状态循环变为3状态循环,使用1Q 0Q 作系统状态。

10CLK CLK =由选择器74153判断。

【图6】

状态转换图▲

74153工作状态(数据选择器)

()

()()()()2Y 1Y ECLR/74153⑤7473747374192

74192

⑦7473⑥11313220100+=============Q Eb Q Ea C b I b I a I a I b I b I a I a I B B Q A PIN

2Y 1Y ECLR/74153⑤+==CLK PIN

【图7】

74153 Turth Table▲

【图8】74153 Logic Symbol▲

【表8】

74138工作状态(3-8线译码器)

()()y)A(Emergenc ①7373

7473⑥10===C Q B Q A PIN

C/74192(2)③4110+=+=Y S S R S

0411Y S S G S =?= 1422Y S S Y S =?=

C/74192(2)③4333+=+=Y S S R S

3433Y S S G S =?=

由于74138输出的是Y 而不是Y ,另外需要实现+的逻辑,所以增加G6~G1来调整线路。后一页附图。

【图9】

74138 Turth Table▲

【图10】

74138 Connection Diagrams▲

【图11】

输出调整电路(G6~G10)▲

【表9】3.元件清单

五、仿真调试与分析

仿真使用EWB5.12。

1、仿真技巧

仿真过程中遇到了很多的问题,在遇到问题后根据除出现的情况按照逻辑真值表进行调整。使用EWB5.12的一些技术或仿真中的事项标记如下:

①、元件调整:双击原件即可调整原件参数。如果在运行状态,这个操作会导致总电源的关闭。

②、EWB中有红绿蓝三色灯,而红绿灯需要红绿黄三色,所以模拟中用蓝灯代替黄灯。

③、接线问题:

当且仅当元件、结点不移动的情况下,连线不移动,新接连线会自动调整,可用鼠标移动到线上拖动,若线上出现小方框,说明线太密,不能移动。

连线不能倾斜,全部横向或竖向。

当第三点需要连接在线上是,可以从端点拖动到线上。EWB不允许出现悬空线,也不允许从导线开始延伸到端点。

要连接两条悬空线AB,可以先利用第三个端点E引一条线到B,再移动E上的线到A。再弃置E。

④、器件、结点圈选以后可以整体移动。圈内的器件、结点相对位置不会移动,导线两端均在圈内则不移动,导线两端在圈外亦不移动。导线一端在圈内,一端在圈外则会自动调整。

⑤、调试、连线过程中使用额外的指示灯,并给跨芯片导线编号有利于检错。

2、仿真记录:

仿真过程中没有发现问题,电路运行正常,“五1⑤”的指示灯状态与LED 红绿灯运行状态匹配。

【表10】

仿真中的关键逻辑电平记录

全部高电平有效

1=High Level

0=Low Level

X=Don’t Care

—=None

0-5=0 To 5

【图12】

仿真截图

见下一页

附表:文中图、表目录

7473双j-k触发器(带清除端)

负边沿J-K触发器

Dual JK FF(Clr)

JKFF

7473

触发器

Q

K Q J Q JK Q K J Q K J Q K J Q +=++?+?=*

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

基于某数字电路交通红绿灯设计

基于数字电路交通红绿灯设计

目录 一、设计目的 (3) 二、设计任务 (3) 三、设计要求 (4) 四、总体设计思路 (4) 五、电路设计模块与分析 (5) 1、交通灯控制系统的原理 (5) 2、状态控制电路 (6) 3、初值预置电路 (8) 4、数字显示电路 (9) 5、秒脉冲电路 (10) 六、总设计电路图 (11) 七、元件清单及其引脚功能 (12) 八、仿真故障 (13) 九、心得体会 (13) 十、参考文献 (14)

一、设计目的 1、通过设计,巩固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的设计能力。 2、通过该课程设计,设计出符合任务要求的电路。 3、掌握常用集成器的一般设计方法。 4、训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力。 5、熟练使用Multisim软件。 二、设计任务 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路

的设计提供了一定的技术基础。 三、设计要求 本设计通过采用数字电路对交通灯控制电路的设计,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 1、东西方向绿灯亮,南北方向红灯亮,时间25s。 2、南北方向绿灯亮,东西方向红灯亮,时间25s。 3、东西方向或南北方向黄灯亮,时间均为5s。 四、总体设计思路 1、根据本课题设计要求分析并画出简易电路结构框图。 2、按照电路结构框图绘制电路原理图。 3、选定各部分集成电路元器件的类型。 4、利用Multisim软件对所设计电路进行调试仿真。 根据设计任务和要求,而确定交通灯控制器电路的系统工作框图

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

简易交通灯控制逻辑电路设计word精品

推南呼紅爭院 电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级:2014 级 专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计

机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如 下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行; (3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁 止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理系统上电或手动复位之后,系统先显示状态灯及LED 数码管,将状态码值送显P1 口,将要显示的时间值的个位和十位分别送显P0 口,在此同时用软件方法计时1秒, 到达1s就要将时间值减1,刷新LED数码管。

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

简易交通灯控制电路

目录 引言 (2) 1 实验目的 (3) 2 设计任务和要求 (4) 2.1 工作流程 (4) 2.2 工作时序 (4) 2.3 循环工作 (4) 3 系统总体设计 (5) 4 单元电路设计 (8) 4.1 脉冲发生器 (8) 4. 2 控制器 (8) 4. 3 计时显示电路 (9) 4. 4 计数器驱动脉冲电路图 (11) 5 主要电子器件 (14) 6 设计总结 (15) 致谢 (16) 主要参考资料 (17)

引言 数字电子技术基础是高等学校弱电类各专业的一门重要的技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现涉及交通控制信号灯。 设计一个简易交通信号灯控制器,在十字入口处设置红、黄、绿三种信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1 实验目的 1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

2 设计任务和要求 1、工作流程 南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 2 、工作时序 东西方向亮红灯时间应等于南北方向亮黄灯和绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 一次循环为30秒,其中红灯亮的时间是绿灯、黄灯亮的时间之和,黄灯是间歇闪烁。 3 、循环工作 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到2秒时,绿灯熄灭,黄灯亮并闪烁,计数继续每秒减1,直到0,黄灯熄灭,红灯亮。十字路口红、绿灯交换,一次工作循环结束,而进入下一步某方向的工作循环。

交通灯控制电路_数电课程设计_数字电路课程设计

——交通灯控制电路 目录

第一章:序…………………………………………(1-2) 第二章:设计任务书………………………………(2-4) 第三章:电路组成和工作原理........................(4-12)第四章:设计步骤及方法..............................(13-18)第五章:仿真过程故障排除...........................(18-22)第六章:总结.............................................(22-23)第七章:参考文献 (23) 第八章:致谢 (23)

毕业论文项目表

哈尔滨应用职业技术学院学生顶岗实习鉴定表

第一章序 数字电子技术基础是高等学校弱电类专业的一门重要技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展城市交通问题越来越引起人们的注意。人、车、路三者的关系协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导计算机综合管理系统,他是现代城市的交通监控系统指挥中最重要的组成部分。 同时也随着城市机动车辆的不断增加,许多大城市如北京,上海,南京等出现交通超负荷运行的情况,因此,自八十年代后,这些城市纷纷修建高速道路,在告诉道路完成的初期,它们也曾有效地改善交通情况。然而,随着交通量的快速增长和缺乏对告诉道路的系统研究和控制,告诉道路没有充分发挥初期的作用。而城市的高速道路在构造上的特写,也决定了城市告诉道路的交通状况必然受告诉道路与普通道路耦合出交通状况的制约。所以,如何才用何时得控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道和匝道,城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,本次设计完成的就是交通灯设计。一下就是城乡交通灯控制系统的电路原理,设计计算和实验调试等问题来进行具体讨论。 第二章设计任务书 一、设计题目:交通灯控制电路 二、技术要求:

数电课程设计---交通灯控制电路设计

课程设计(大作业)报告 课程名称:数字电子技术 设计题目:交通灯控制电路设计 院系:信息技术学院 班级: 设计者: 学号: 指导教师: 设计时间:2012/12/24----2012/12/28 信息技术学院

课程设计(大作业)任务书

目录 一设计目的 (5) 二设计要求和指标 (5) 三设计内容 (6) 3.1数字钟电路工作原理 (6) 3.2主要器件 (7) 3.3仿真结果与分析 (8) 3.3.1主控制电路 (8) 3.3.2时钟计时器 (9) 3.3.3译码器 (10) 3.3.4 时间显示 (11) 3.3.5 总原理图 (12) 四本次设计改进建议 (12) 五总结 (13) 六主要参考文献 (13)

1熟悉集成电路的引脚安排。 2掌握各芯片的逻辑功能及使用方法。 3了解面包板结构及其接线方法。 4了解数字交通灯控制电路的组成及工作原理。 5学会用仿真软件对设计的原理图进行仿真。 6熟悉数字交通灯控制电路的设计与制作。 二设计要求和指标 (一)设计要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,设置5s计时显示电路。 (二)设计指标 1.设计秒脉冲发生器 2.设计交通灯定时 3.设计交通灯控制 4.设计交通灯译码 5.设计交通灯显示时间

3.1数字钟电路工作原理 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决的办法。 5.分析系统的逻辑功能,画出其框图: 图1 交通灯控制系统的原理框图 两方向车道的交通灯的运行状态共有4种交通灯是同步的。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 TL: 表示甲车道或乙车道绿灯亮的时间间隔为15秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

数电课程设计简易交通灯控制逻辑电路模板

数电课程设计简易交通灯控制逻辑电 路

课程设计说明书课程名称: 数字电子技术课程设计 题目: 简易交通灯控制逻辑电路 学生姓名: 陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s。 2、东西方向与南北方向黄灯亮, 时间5s。 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s。 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 三、进度安排 1、 .01.01- .01.07 复习归纳触发器、计数器的逻辑功能 2、 .01.08 查找常见器件的资料, 包括: 3、 .01.09 使用EWB5.12辅助设计电路, 并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能, 在1-3状态循环。 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; 2、东西方向与南北方向黄灯亮, 时间5s; 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 二、方案设计与论证 1、分解任务要求 任务要求实际上就是4个状态, 不妨设: S1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; S2、东西方向与南北方向黄灯亮, 时间5s; S3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; S4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换

基于数字电路的交通灯设计

电子技术课程设计报告课程名称电子技术课程设计 设计题目交通信号灯控制器 所学专业名称电子信息工程 班级电信1班 学号2013210xxx 学生姓名张XX 指导教师XXX 2015年6月1日

电子技术课程设计 任务书 设计(论文)名称:交通信号灯控制器 系(部)、专业:电气学院电子信息工程学生姓名:张XX 指导教师:XXX下达时间:2015年5月25日 一、课程设计应达到的目的: 设计 ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条 交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 二、课程设计任务和基本要求 设计任务: ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条 交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 基本要求: ①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,每次通行25秒,则要求绿灯每次亮25秒。 ②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。 ③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。 目录

交通信号灯控制器 摘要: 在日常生活中,交通灯作为管理交通、调协车辆的一个便捷的手段,起着很大的作用。各种交通工具、行人都要根据交通灯的变化来决定是否前行,通行的时间的规定协调了它们的步伐,极大的减少了由于交通混乱引起的各种事故的发生。因此,一个完善的交通系统中,交通灯是必不可少的设备,一个完善的交通灯程序会更有效的管理当前道路中出现的实际情况,使车辆、行人的行进变得更顺畅、更和谐。 第一章设计电路 设计任务与要求 ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 设计分析 ①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,每次通行25秒,则要求绿灯每次亮25秒。 ②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。 ③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。

数电课设交通灯

目录 1.设计任务--------------------------------------------------------- - 1 - 2.总体设计方案------------------------------------------------------ - 1 - 2.1总述:----------------------------------------------------------- - 1 - 2.2设计思路:------------------------------------------------------- - 2 - 2.3设计总框图如下:------------------------------------------------- - 3 - 3.模块电路设计------------------------------------------------------ - 4 - 3.1秒脉冲发生模块--------------------------------------------------- - 4 - 3.2数码管显示模块--------------------------------------------------- - 5 - 3.3 led发光电路----------------------------------------------------- - 6 - 3.4主控电路--------------------------------------------------------- - 7 - 3.5交通信号灯电路-------------------------------------------------- - 10 - 4.原理总图-------------------------------------------------------- - 10 - 5.元器件清单------------------------------------------------------ - 10 - 6.调试------------------------------------------------------------ - 11 - 7.心得体会--------------------------------------------------------- - 11 - 8.参考文献------------------------------------------------------- - 12 -

数电课程设计——简易交通灯控制逻辑电路-(1)教学教材

数电课程设计——简易交通灯控制逻辑电 路-(1)

课程设计说明书 课程名称:数字电子技术课程设计 题目:简易交通灯控制逻辑电路 学生姓名:陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 2011 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮,南北方向红灯亮,时间60s。 2、东西方向与南北方向黄灯亮,时间5s。 3、南北方向绿灯亮,东西方向红灯亮,时间45s。 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 三、进度安排 1、2011.01.01-2011.01.07 复习归纳触发器、计数器的逻辑功能 3、2011.01.09 使用EWB5.12辅助设计电路,并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能,在1-3状态循环。 1、东西方向绿灯亮,南北方向红灯亮,时间15s; 2、东西方向与南北方向黄灯亮,时间5s; 3、南北方向绿灯亮,东西方向红灯亮,时间l0s; 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、方案设计与论证y 1、分解任务要求 任务要求实际上就是4个状态,不妨设: S1、东西方向绿灯亮,南北方向红灯亮,时间15s; S2、东西方向与南北方向黄灯亮,时间5s; S3、南北方向绿灯亮,东西方向红灯亮,时间l0s; S4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换

数电课程设计——交通灯

数电课程设计 课程名称:交通灯控制器姓名:车宣豪 学号:1240308209 专业:测控技术与仪器

交通灯控制器设计 一、设计任务和要求 1.设计一个交通灯控制器,由两条主干道汇合成十字路口,在每个入口处设置两相位信号灯;分别为直行—红、黄、绿等;左转—红、黄、绿灯,六盏信号灯。 2.每个路口信号灯亮灭次序和时间为直行—绿灯30秒,黄灯5秒,红灯85秒;左转—绿灯20秒,黄灯5秒,红灯95秒。 3.各路口有两个倒计时显示器,分别显示直行和左拐倒计时状态。 4.黄灯亮时,为闪烁点亮方式。 二、方案论证 1.各变量含义 clk为单位脉冲信号,reset为清零信号,HSR、HSG、Y1分别为东西直行红黄绿灯,HLR、HLG、Y2分别为东西左拐红黄绿灯,SSR、SSG、Y3分别为南北直行红黄绿灯,SLR、SLG、Y4分别为南北左拐红黄绿灯;HS0,HL0,SS0,SL0分别为东西直行、东西左拐、南北直行、南北左拐倒计时。 2.信号灯状态表及每个状态倒计时 状态s0 s1 s2 s3 s4 s5 s6 s7 东西直行绿30-1 黄5-1 红85-66 红65-61 红60-31 红30-26 红25-6 红5-1 东西左拐红35-6 红5-1 绿20-1 黄5-1 红60-31 红30-26 红25-6 红5-1 南北直行红60-31 红30-26 红25-6 红5-1 绿30-1 黄5-1 红25-6 红5-1 南北左拐红95-66 红65-61 红60-41 红40-36 红35-6 红5-1 绿20-1 黄5-1

3.显示器倒计时的实现 通过设计一个逐渐递增的数x(从1不断加1,一直加到120),然后用一个数减去x就得到一个递减的数来作为计时器上显示的数。例如:第一个状态为东西直行绿灯亮30秒,那么就用31—x(此时x从1一直加1到30)来表示绿灯的剩余倒计时时间;而到第二个状态则为东西左拐黄灯亮5秒,那么就用36—x(由于x是不断加1的数,那么此时x变为从31不断加1到35)来实现黄灯亮5秒的倒计时显示。其他状态及其他方向倒计时与这两个例子一样,都是通过一个数减去x来实现的倒计时。具体每个状态倒计时如下:case(z) 8'd1:begin HS<=31-x;HL<=36-x;SS<=61-x;SL<=96-x;end 8'd2:begin HS<=36-x;HL<=36-x;SS<=61-x;SL<=96-x;end 8'd3:begin HS<=121-x;HL<=56-x;SS<=61-x;SL<=96-x;end 8'd4:begin HS<=121-x;HL<=61-x;SS<=61-x;SL<=96-x;end 8'd5:begin HS<=121-x;HL<=121-x;SS<=91-x;SL<=96-x;end 8'd6:begin HS<=121-x;HL<=121-x;SS<=96-x;SL<=96-x;end 8'd7:begin HS<=121-x;HL<=121-x;SS<=121-x;SL<=116-x;end 8'd8:begin HS<=121-x;HL<=121-x;SS<=121-x;SL<=121-x;end z的取值分别表示8个状态,HS表示东西直行倒计时显示,HL表示东西左拐倒计时显示,SS表示南北直行倒计时显示,SL表示南北左拐倒计时显示。 4.黄灯闪烁的实现 通过把黄灯的输出信号与上clk信号来实现黄灯的闪烁,例如Y1=clk&HSY,HSY为东西直行黄灯信号,当它与上clk时则可实现黄灯的闪烁信号Y1。 5.状态转换。 S0 S1 S2 S3 S7 S6 S5 S4 状态机分为8个状态,分别为S0、S1、S2、S3、S4、S5、S6、S7,每个状态灯亮灭如下:s0: {HSR,HSG,HSY}=3'b010;{HLR,HLG,HL Y}=3'b100; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100; s1: {HSR,HSG,HSY}=3'b001;{HLR,HLG,HL Y}=3'b100; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100; s2: {HSR,HSG,HSY}=3'b100;{HLR,HLG,HL Y}=3'b010; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100;

相关主题
文本预览
相关文档 最新文档